直流电机调速控制器_第1页
直流电机调速控制器_第2页
直流电机调速控制器_第3页
直流电机调速控制器_第4页
直流电机调速控制器_第5页
已阅读5页,还剩22页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 数字系统设计 课 程 设 计 报 告题 目:直流电机调速控制器设计专 业:电子信息工程班 级: 10电信(2)班指导教师:电气工程系2013年5月27日1、任务书课题名称直流电机调速控制器设计指导教师 执行时间20122013学年第二学期 第 14 周学生姓名学号承担任务评分系统仿真及调试总体系统方案设计PWM脉宽调制信号产生电路的设计控制电路的设计VHDL程序编写文档编辑撰写设计要求设计一个直流电机PWM调速控制器,并能进行正反转控制。摘要 在现代工业生产中电机是不可或缺的,电机分为交流电机和直流的电机,而直流电机的主要优点是能够在较大的范围内调速,因此直流电机在生产和生活中也得到广泛的应

2、用。直流电动机转速的控制方法可分为俩类,即励磁控制法与电枢电压控制法。PWM(脉宽调制)是常用的一种调速方法,其基本原理是用改变电机电枢电压的接通和断开的时间比来控制马达的速度,在脉宽调速系统中,当电机通电时,其速度增加,电机断电时,其速度减低。要按照一定的规律改变通、断电的时间,即可使电机的速度达到并保持一稳定值。并且结合VHDL语言实现硬件设计软件化。关键字:PWM,直流电机,VHDL目录第一章 方案设计11.1 直流电机基本结构11.2 直流电机调速原理11.3 基于FPGA的直流电机调速方案2第二章 直流电机PWM调速控制电路设计42.1 总体设计42.2 系统工作原理42.3 键盘电

3、路设计52.4 系统时钟电路设计72.5 H型桥式驱动电路设计82.6 电源电路设计10第三章 控制逻辑VHDL描述113.1 FPGA内部逻辑组成113.2 PWM脉宽调制信号产生电路描述113.3 运行控制逻辑电路描述15第四章 直流电机PWM调速系统仿真174.1 FPGA开发环境的介绍174.2 正/反转控制仿真184.3 启/停控制仿真194.4 加/减速仿真194.5 仿真结果分析20总 结22参考文献23第一章 方案设计1.1 直流电机基本结构直流电机是通过两个磁场的互作用产生旋转。固定部分(定子)上,装设了一对直流励磁的静止的主磁极N和S,在旋转部分(转子)上装设电枢铁心。定子

4、与转子之间有一气隙。在电枢铁心上放置了由A和X 两根导体连成的电枢线圈,线圈的首端和末端分别连到两个圆弧形的铜片上,此铜片称为换向片。换向片之间互相绝缘,由换向片构成的整体称为换向器。换向器固定在转轴上,换向片与转轴之间亦互相绝缘。在换向片上放置着一对固定不动的电刷B1和B2,当电枢旋转时,电枢线圈通过换向片和电刷与外电路接通。定子通过永磁体或受激励电磁铁产生一个固定磁场,由于转子由一系列电磁体构成,当电流通过其中一个绕组时会产生一个磁场。对有刷直流电机而言,转子上的换向器和定子的电刷在电机旋转时为每个绕组供给电能。通电转子绕组与定子磁体有相反极性,因而相互吸引,使转子转动至与定子磁场对准的位

5、置。当转子到达对准位置时,电刷通过换向器为下一组绕组供电,从而使转子维持旋转运动。直流电机的速度与施加的电压成正比,输出转矩则与电流成正比。由于必须在工作期间改变直流电机的速度,直流电机的控制是一个较困难的问题。直流电机高效运行的最常见方法是施加一个 PWM(脉宽调制)方波,其占空比对应于所需速度。电机起到一个低通滤波器作用,将PWM信号转换为有效直流电平。特别是对于微处理器驱动的直流电机,由于PWM信号相对容易产生,这种驱动方式使用的更为广泛。1.2 直流电机调速原理所谓脉冲宽度调制是指用改变电机电枢电压接通与断开的时间的的占空比来控制电机转速的方法,称为脉冲宽度调制(PWM)。对于直流电机

6、调速系统,使用FPGA进行调速是极为方便的。其方法是通过改变电机电枢电压导通时间与通电时间的比值(即占空比)来控制电机速度。PWM调速原理如图所示。在脉冲作用下,当电机通电时,速度增加;电机断电时,速度逐渐减少。只要按一定规律,改变通、断电时间,即可让电机转速得到控制。设电机永远接通电源时,其转速最大为Vmax,设占空比为D=t1/T,则电机的平均速度为 Vd=Vmax·D 式中,Vd电机的平均速度 Vmax电机全通时的速度(最大) D=t1/T占空比 平均速度Vd与占空比D的函数曲线,如图所示。图1.1 PWM调速原理图1.2 平均速度和占空比的关系由图所示可以看出,Vd与占空比D

7、并不是完全线性关系(图中实线),当系统允许时,可以将其近似地看成线性关系(图中虚线)。因此也就可以看成电机电枢电压Ua与占空比D成正比,改变占空比的大小即可控制电机的速度。由以上叙述可知:电机的转速与电机电枢电压成比例,而电机电枢电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快,当占空比1时,电机转速最大。基于FPGA的直流电机调速方案图 基于FPGA的直流电机调速系统如图所示为基于FPGA的直流电机调速方案的方框图,用FPGA产生PWM波形,只需要FPGA内部资源就可以实现,如数字比较器、锯齿波发生器等均为FPGA内部资源,我们只要直接调用就可以。外部端口

8、U_D、EN1、Z/F、START接在键盘电路上,CLK2和CLK0接在外部时钟电路上,所用到的时钟频率为100MHz和50MHz,其具体的连接方式如图2.1。其工作原理是:设定值计数器的设置PWM的占空比。当U/D=1时,输入CLK2,使设定值计数器的输出值增加, PWM的占空比增加,电机转速加快;当U/D =0时,输入CLK2,使设定值计数器的输出值减小,PWM的占空比减小,电机转速变慢。在CLK0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电平,由此产生周期性的PWM波形。旋转方向控制电路控制直流电动

9、机转向和启/停,该电路由两个2选1的多路选择器组成,Z/F键控制选择PWM波形是从正端Z进入H桥,还是从负端F进入H桥,以控制电机的旋转方向。当Z/F=1时,PWM输出波形从正端Z进入H桥,电机正转。当 Z/F=0时,PWM输出波形从负端F进入H桥,电机反转。Start键通过“与”门控制PWM输出,实现对电机的工作停止/控制。当START=1时,与门打开,允许电机工作。当START=0时,与门关闭,电机停止转动。H桥电路由大功率晶体管组成,PWM输出波形通过方向控制电路送到 H 桥, 经功率放大以后对直流电机实现四象限运行。并由EN1信号控制是否允许变速。第二章直流电机PWM调速控制电路设计2

10、.1 总体设计如图2.1所示,基于FPGA的直流电机PWM控制电路主要由四部分组成:控制命令输入模块、控制命令处理模块、控制命令输出模块、电源模块。键盘电路、时钟电路是系统的控制命令输入模块,向FPGA芯片发送命令,FPGA芯片是系统控制命令的处理模块,负责接收、处理输入命令并向控制命令输出模块发出PWM信号,是系统的控制核心。控制命令输出模块由H型桥式直流电机驱动电路组成,它负责接收由FPGA芯片发出的PWM信号,从而控制直流电机的正反转、加速以及在线调速。电源模块负责给整个电路供电,保证电路能够正常的运行。图2.1 FPGA直流电机PWM 控制电路2.2系统工作原理在图2.1中所示的FPG

11、A是根据设计要求设计好的一个芯片,其内部逻辑电路如图3.1。START是电机的开启端,U_D控制电机加速与减速,EN1用于设定电机转速的初值,Z_F是电机的方向端口,选择电机运行的方向。CLK2和CLK0是外部时钟端,其主要作用是向FPGA控制系统提供时钟脉冲,控制电机进行运转。通过键盘设置PWM信号的占空比。当U_D=1时, 表明键U_D按下,输入CLK2使电机转速加快;当U/D =0,表明键U_D松开,输入CLK2使电机转速变慢,这样就可以实现电机的加速与减速。Z_F键是电机运转的方向按键,当把Z_F键按下时,Z_F=1,电机正转;反之Z/F=0时,电机反转。START是电机的开启键,当S

12、TART=1,允许电机工作;当START=0时,电机停止转动。H桥电路由大功率晶体管组成,PWM输出波形通过由两个二选一电路组成的方向控制电路送到 H 桥, 经功率放大以后对直流电机实现四象限运行。并由EN1信号控制是否允许变速。2.3键盘电路设计本设计系统的命令输入模块是键盘电路和时钟电路,通过以按键的方式向FPGA控制系统表达人的命令来实现直流电机的正转、反转、停止和加减速,实现人机互换。下面就对键盘电路和时钟电路的类型以及工作原理分别进行论述。键盘电路有两种类型,其中一种是独立式键盘电路。独立式键盘电路结构简单、操作方便,在目前这种结构的键盘应用还非常普遍。只是这种键盘电路的每个按键都要

13、占用一根I/O口线,这样的话,随着按键的增加将使I/O口线不足。因此,这种键盘电路只有在按键比较少的情况下比较适用。另一种键盘电路是矩阵式键盘电路,这种键盘电路的按键设置在行线和列线的交叉点上,因此在有限的I/O口线上可以设置比较多的按键。只是这种键盘电路结构、编程都比较复杂。在键盘电路中,往往可以与一个与非门电路构成带中断的键盘电路。这种键盘电路上的每个按键可以单独工作,而且响应时间快。这种带中断式的键盘电路现在应用已经相当的普遍。如图2.2所示,所采用的键盘电路是独立式键盘电路。其4个功能键SB1SB4连线分别接在FPGA控制系统的4个端口上,并分别往上各引一条接线串一个1K的上拉电阻接在

14、+5V电源上。当4个键都没有被按下去时,对应的各条列线全部为高电平,在CMOS非门的作用下每个端口的电平为低电平。其中一个按钮按下去时,其对应的输出端口在非门的作用下由低电平变为高电平,从而启动相应的功能。图2.2 键盘电路在键盘电路设计中,需要解决按键抖动的问题。多数键盘的按键均采用机械弹性开关,一个电信号通过机械触点的断开、闭合过程,完成高低电平的切换。由于机械触点的弹性作用,一个按键开关在闭合和断开的瞬间必然伴随一连串的抖动。为了排除抖动的影响,在按键和输出端并上一个电阻、一个电容。如图2.3所示。图2.3 滤波防抖动电路由图可知,当键SB1未按下时,电容C两端的电压均为1,非门输出为0

15、。当键SB1按下时,由于C两端电压不可能产生突变。尽管接触过程中可能出现抖动,只要适当的选择R和C值,即可保证电容C两端的放电电压波动不会超过非门的开启电压(TTL为0.8V),非门的输出将维持低电平。同理,当触点K断开时,由于电容C经过R2充电,C两端的充电电压波动不会超过非门的关闭电压,因此,非门的输出也不会改变,从而达到防抖动的效果。2.4系统时钟电路设计FPGA是在系统时钟脉冲作用下进行的,在FPGA应用系统中,要求采用石英晶振作为时钟脉冲,如图2.4所示,是采用有源石英晶振构成的系统时钟电路。在该电路中,1脚悬空,2脚接地,3脚接输出,4脚接电源。3脚时钟脉冲输出后接在FPGA的CL

16、K0时钟端,另一路经二分频电路进行分频后接在CLK2时钟端。在CLK0和CLK2的共同作用下,系统进行工作。时钟输入是系统电路中必不可少的一部分,它能为FPGA提供时钟脉冲信号,考虑到EDA开发系统时钟输入的重要性,一个是50MHz的有源晶振作为时钟信号源输入,主要用于输入大的时钟信号,为波形发生器提供基准的时钟脉冲输入。图2.4 时钟电路图有源晶振的驱动能力强,晶振频率比较大,能达到几百兆Hz,采用有源晶振作为时钟源可以使电路的时钟扩大。HO-12系列的有源晶振采用TTL/HCMOS技术,频率范围是1000Hz-1000MHz,这里我们采用的是100MHz的有源晶振。把D触发器的输出反馈回输

17、入端与D连接就形成一个二分频电路,如图2.5所示,从波形图可以看出Q输出的波形将是CP脉冲周期的两倍,即频率是为CP脉冲的一半。2.5 D触发器接成二分频电路2.5 H型桥式驱动电路设计直流电机驱动电路使用最广泛的就是H型全桥式驱动电路,这种驱动电路可以很方便实现直流电机的四象限运行,分别对应正转、正转制动、反转、反转制动。它的基本原理图如图2.6所示。图2.6 H型全桥式驱动电路H型全桥式驱动电路的4只三极管都工作在斩波状态,V1、V4为一组,V2、V3为另一组,两组的状态互补,一组导通则另一组必须关断。当V1、V4导通时,V2、V3关断,电机两端加正向电压,可以实 现电机的正转或反转制动;

18、当V2、V3导通时,V1、V4关断,电机两端为反向电压,电机反转或正转制动。在直流电机运转的过程中,我们要不断地使电机在四个象限之间切换,即在正转和反转之间切换,也就是在V1、V4导通且V2、V3关断,到V1、V4关断且V2、V3导通,这两种状态之间转换。在这种情况下,理论上要求两组控制信号完全互补,但是,由于实际的开关器件都存在开通和关断时间,绝对的互补控制逻辑 必然导致上下桥臂直通短路,比如在上桥臂关断的过程中,下桥臂导通了。这个过程可用图2.7说明。图2.7因此,为了避免直通短路且保证各个开关管动作之间的同步性,两组控制信号在理论上要求互为倒相的逻辑关系,而实际上却必须相差一个足够的死区

19、时间,这个矫正过程既可以通过硬件实现,即在上下桥臂的两组控制信号之间增加延时。 驱动电流不仅可以通过主开关管流通,而且还可以通过续流二极管流通。当电机处于制动状态时,电机便工作在发电状态,转子电流必须通过续流二极管流通,否则电机就会发热,严重时烧毁。开关管的选择对驱动电路的影响很大,开关管的选择宜遵循以下原则: (1)由于驱动电路是功率输出,要求开关管输出功率较大 (2)开关管的开通和关断时间应尽可能小 (3)直流电机使用的电源电压不高,因此开关管的饱和压降应该尽量低在实际制作中,我们可选用大功率达林顿管TIP122或场效应管IRF530,效果都还不错。现在为了取材方便,我们选用三极管作为驱动

20、电路的开关管。从前面的分析可知,H型全桥式驱动电路中,由于开关管有开通和关断时间,因此存在上下桥臂直通短路的问题。直通短路的存在,容易使开关管发热,严重时烧毁开关管,同时也增加了开关管的能量损耗。由于现在的许多集成驱动芯片内部已经内置了死区保护(如LMD18200),这里主要介绍的是利用开关管等分立元件以及没有死区保护的集成芯片制作驱动电路时增加死区的方法。死区时间的问题,只有在正转变为反转或者反转变为正转的时候才存在,而在正转启动或反转启动的时候并没有,因此不需要修正。如果开关管的开通和关断时间非常小,或者在硬件电路中增加延时环节,都可以降低开关管的损耗和发热。当然,通过软件避免直通短路是最

21、好的办法,它的操作简单,控制灵活。通过软件实现死区时间,就是在突然换向的时候,插入一个延时的环节,待开关管关断之后,再开通应该开通的开关管。在开关管每次换向的时候,不立即进行方向的切换,而是先使开关管关断一段时间,使其完全关断后再换向打开另外的开关管。这个关断时间由软件延时实现。以上主要分析了电机的全桥式驱动电路,这是直流电机调速使用最多的调速方法。目前市场上有很多种电机驱动的集成电路,效率高,电路简单,使用也比较广泛,但是其驱动方法大多与全桥式驱动一样。PWM控制方法配合桥式驱动电路,是目前直流电机调速最普遍的方法。2.6电源电路设计由于电机在正常工作时对电源的干扰很大,如果只用一组电源时会

22、影响系统的正常工作,所以我们选用双电源供电。一组5V给控制电路供电, 另外一组12V给电机供电。如图3.8所示。电源部分分为两路,一路直接提供12伏的直流电源,主要是提供给电机使用,另一路通过三端稳压芯片7805稳压成5伏直流电源提供给键盘电路和时钟电路使用,右边两个电容是5伏电源的滤波电容,绿色的LED作为工作指示灯,只要电源部分正常,绿色的LED就会点亮,我们可以根据这个LED来判断整个电源部分是否工作正常。2.8 电源电路第三章控制逻辑VHDL描述FPGA内部逻辑组成图3.1 FPGA直流电机PWM 控制电路由图3.1可以看出电机控制逻辑模块由PWM脉宽调制信号产生电路、方向控制电路组成

23、。其中PWM脉宽调制信号产生电路由可控的加减计数器CNTA、5位二进制计数器CNTB、数字比较器LPM_COMPARE三部分组成,方向控制电路由两个二选一电路21MUX组成。3.2 PWM脉宽调制信号产生电路描述PWM脉宽调制信号产生电路由可控的加减计数器CNTA、5位二进制计数器CNTB、数字比较器LPM_COMPARE三部分组成。可控的加减计数器做细分计数器,确定脉冲宽度。当U/D=1时,输入CLK2,使设定值计数器的输出值增加,PWM的占空比增加,电机转速加快;当U/D =0,输入CLK2,使设定值计数器的输出值减小,PWM的占空比减小,电机转速变慢。5位二进制计数器在CLK0的作用下,

24、锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电平,由此产生周期性的PWM波形。其内部逻辑图如图3.2所示。图 3.2 FPGA中的PWM脉宽调制信号产生电路可控的加减计数器CNTA中的端口U_D控制计数器的方向,EN1是计数器的使能端,控制计数器初值的变化。U_D=1时,加减计数器CNTA在脉冲CLK2的作用下,每来一个脉冲,计数器CNTA加1,U_D=0时,每来一个脉冲,计数器CNTA减1。使能端EN1设定计数器值的初值,当EN1由1变为0的时候,无论U_D如何表化,计数器的值都不会发生变化,这样就完成了计数器的设

25、定值,其仿真波形如图3.3所示,其VHDL语言如下。LIBRARY IEEE;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNTA IS PORT(CLK:IN STD_LOGIC; U_D:IN STD_LOGIC; CQ:OUT STD_LOGIC_VECTOR(4 DOWNTO 0);END CNTA;ARCHITECTURE behav OF CNTA IS SIGNAL CQI:STD_LOGIC_VECTOR(4 DOWNTO 0);BEGIN PROCESS(CLK

26、)BEGIN IF CLK'EVENT AND CLK='1'THEN IF U_D='1' THEN IF CQI<=31 THEN CQI<="11111" ELSE CQI<=CQI+1; END IF; ELSIF CQI=0 THEN CQI<="00000" ELSE CQI<=CQI-1;END IF; END IF; END PROCESS; CQ<=CQI; END behav;图 3.3 细分计数器的仿真波形CNTB是一个简单的5位二进制计数器,它的工作原理和

27、CNTA的原理很相似,我们只是在CNTA的时钟端加了一个使能端EN1控制其加减的方向。而CNTB的时钟端没有加使能端,所以每来一个脉冲计数器加1,因为CNTB是一个5位的二进值计数器,所以当计数器的值当大于32时,计数器又重新从0开始记数,从而产生周期性的线性增加的锯齿波。其仿真波形如图3.4,其VHDL语言如下。ENTITY CNTB IS PORT(CLK: IN BIT; Q:BUFFER INTEGER RANGE 31 DOWNTO 0); END;ARCHITECTURE BHV OF CNTB IS BEGIN PROCESS(CLK) BEGIN IF CLK'EVEN

28、T AND CLK='1' THEN Q<=Q+1; END IF; END PROCESS; END BHV;图3.4 5位二进制计数器仿真波形数字比较器是产生PWM波形的核心组成部件,可控的加减计数器CNTA和5位二进制计数器CNTB同时加数字比较器LPM-COMPARE两端作为两路输入信号,当计数器CNTB输出值小于细分计数器CNTA输出的规定值时, 比较器输出高电平; 当CNTB输出值大于细分计数器CNTA输出的规定值时, 比较器输出低电平。改变细分计数器的设定值, 就可以改变PWM输出信号的占空比。为了便于观察防真波形,在CNTB的输出加上B4.0,仿真波形如图

29、3.5。图3.5 数字比较器的仿真波形细分计数器CNTA是一个双向计数器, 可以进行加减计数,由U_D控制其加/减计数方向, CLK是计数时钟输入端。为了便于连续变速控制, 在计数器的CLK端通过“与”门, 加入了CLK2外部变速控制附加时钟, 并由EN1信号控制是否允许变速。在本次设计中直流电机转速进行了32级细分。其仿真波形如图3.6,细分计数器的初值设为08H,也就是十进值的8,当计数器CNTB的值小于8时,AGB输出高电平,当计数器CNTB的值大于8时,AGB的输出值为低电平,从而产生PWM波形。图4.6 A4.0=08H时电机加速PWM波形通过改变细分计数器的值就可以改变PWM的占空

30、比,从而改变直流电机的速度。在图3.6中占空比D=8/32=0.25,在图3.7中占空比D=4/32=0.125。通过以上两组数据比较以及分析仿真波形我们可以看出,只要改变使能端电平的高低,便可以改变细分计数器的值,也就是改变细分计数器CNTA的初值,从而可以改变直流电机的占空比,改变直流电机的速度。图3.7 A4.0=04H时电机减速PWM波形调节PWM波的占空比是电机调速的重要手段,若脉宽计数器CNTA的值逐渐增大,输出脉冲的开启时间变大,PWM占空比逐渐变大,功率器件输出给电机电枢的能量增加,电机加速。若脉宽计数器定时器CNTA的值减小,输出脉冲的开启时间变小,PWM占空比逐渐变小,功率

31、器件输出给电机电枢的能量减少,电机减速。当电机得到加速信号,占空比增大至它可调范围的最大值后保持,电机得到减速信号,占空比减小至它的可调范围的最小值后保持。3.3 运行控制逻辑电路描述如图3.8所示FPGA中的工作/停止控制和正/反转方向控制电路,其两个二选一多路选择器加上两个与门根据逻辑原理组合而成。START键通过“与”门控制PWM输出,实现对电机的工作/停止控制。当START端接高电平时,表示电源接通,电机开始运转;当START端接低电平时,电机停止运转。Z/F键控制选择PWM波形是从正端Z进入H桥,还是从负端F进入H桥,以控制电机的旋转方向。当Z/F=1时PWM输出波形从正端Z进入H桥

32、,电机正转。当 Z/F=0时PWM输出波形从负端F进入H桥,电机反转。仿真如图3.9所示。图3.8 FPGA中的工作/停止控制和正/反转方向控制电路图3.9 正/反转工作控制电路波形图3.10工作/停止电路波形当START=1时,与门打开,允许电机工作。当START=0时,与门关闭,电机停止转动。仿真如图3.10所示。第四章 直流电机PWM调速系统仿真4.1 FPGA开发环境的介绍MAX+Plus II(MuliPtle Array Martix and Programmxnaable Logie User System)是ALTERA公司推出的具有完全集成化、可视化的设计环境,具有工业标准E

33、DA工具接口,可运行于多种操作系统。MAX+Plus II提供了一种与结构无关的设计环境,设计人员无须精通器件内部结构,只需运用自己熟悉的输入工具进行设计,就可以通过MAX+Plus II把这些设计转换为最终结构所需要的格式。MAX+Plus II提供丰富的逻辑功能供设计人员调用,其中包括74系列全部器件的等效宏功能库和多种特殊的宏功能(MacorFunctino)模块以及参数化的宏功能(Mageufnctino)模块。MAX+PlusH还具有开放核的特点,允许设计人员添加自己的宏功能模块。充分利用这些逻辑功能模块,可以大大减轻设计的工作量,成倍缩短开发周期。Altera公司的MAX+plus

34、II有以下特点:开放的界面MAX+plusII软件可与其他工业标准的设计输入、综合与校验工具相连接,支持与Candence、Synopsys、Viewlogic等其它公司所提供的EDA接口。完全集成化MAX+plusII的设计输入、处理与校验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。丰富的设计库MAX+plusII提供丰富的库单元供设计者调用,其中包括74系列的全部器件、大量的数字器件和新型参数化的宏函数,大大减轻了设计人员的工作量。硬件描述语言MAX+plusII软件支持各种HDL设计输入选项,包括VHDL、verilog HDL和Altera公司自己的硬件描述语言

35、AHDL。开放核特性MAX+plusII软件具有开放核的特点,它允许设计人员添加自己认为有价值的宏函数。MAX+plusII软件的设计输入方法有多种,主要包括原理图输入方式、文本设计输入方式、波形设计输入方式等。(1) 原理图输入与符号编辑。利用MAX+plusII提供的各种原理图库进行设计输入是一种最为直接的输入方式。用这种方式输入时,为提高效率,应采用自顶向下逻辑分块,把大规模的电路划分成若干小块的方法。(2) 硬件描述语言输入。MAX+plusII包含一个集成的Text Editor(文本编辑程序),适合于输入和编辑用VHDL语言编写的设计文件。(3) MAX+plusII Wavefo

36、rm Editor(波形编辑程序)用于建立和编辑波形文件及输入仿真向量和功能测试向量,适合于时序和重复的函数。设计人员可以根据自己的实际情况灵活的选择MAX+plusII软件的输入方式。正/反转控制仿真键盘Z_F是电机的方向控制键。当要求电机正转时,只需要按下键Z_F,表示Z_F输出高电平,即Z_F=1,电机正转,如图所示。当键Z_F松开时,Z_F0时,电机反转,如图、图所示。图4.1 电机正转图4.2 电机反转图4.3 电机正反转启/停控制仿真START键是电机的启动键,当按下START键时,START=1,电机进入运行状态,如图所示。反之,START=0时,电机停止,如图4.5、图4.6所

37、示。图4.4 启动仿真波形图4.5 停止仿真波形图4.6 启/停仿真波形加/减速仿真键盘EN1控制电机是否允许变速。所以通过改变EN1便可以改变设定值H4.0的值,也就是设定值的初值,从而改变了直流电机的占空比,改变直流电机的速度,达到调速的目的。因为CNTB是5位的计数器,所在本设计中直流电机转速细分为32级。如图4.7的占空比为2/32=0.0625,同理通过按键EN1该变H4.0的值便得到如图4.8、4.9的PWM仿真波形,其占空比依次为,也就是占空比增大,电机的速度增加。根据以上的数据比较与仿真波形的分析可以看出,电机的速度在逐渐的增加。所以通过改变EN1的值可以改变直流电机的PWM占空比,从而改变直流电机的速度。图4.7 H4.0=02H仿真波形图4.8 H4.0=04H仿真波形图4.9 H4.0=08H仿真波形仿真结果分析通过5.2到5.5的仿真波形分析可知,本设计中的各项功能够很好的实现。在时钟脉冲的作用下,计数器CNTA和CNTB都能按照事先设定好的规则进行计数。CNTA是可控的加减计数器,U_D控制其计数的方向,EN1用于设定其初值,当NE1由高电平变为低电平时,就

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论