数电实验报告样本(实验一)_第1页
数电实验报告样本(实验一)_第2页
数电实验报告样本(实验一)_第3页
数电实验报告样本(实验一)_第4页
数电实验报告样本(实验一)_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实 验 报 告课程名称: 电子电路实验2 实验名称: 实验一:集成门电路的逻辑功能测试与应用 班 级: 学 号: 姓 名: 时 间: 成 绩: 指导教师: 电工电子实验教学中心一、实验目的1掌握数字电路实验箱的结构和使用;2学习Quartus 软件的基本操作;3验证各种门电路(SSI)的逻辑功能;4掌握数字逻辑电路实验的基本过程和实验报告的编写。二、实验仪器1PC机2数字电路实验箱三、实验原理图1仿真电路与非门仿真电路如图1所示。图1 与非门仿真电路图异或门仿真电路如图2所示。图2 异或门仿真电路图2数字电路实验箱硬件资源接线用数字电路实验箱实现与非门演示功能的硬件资源连接如图3所示。图3 数

2、字电路实验箱与非门电路接线图图中,输入电路是拨动开关电路,其中BM1、BM2可为逻辑与非门的两个输入端A、B提供高、低电平;输出电路是彩灯电路,通过控制发光二极管的阳极和阴极,使发光二极管亮或灭,与非门输出端Y接到红色发光二极管的阳极,通过红色发光二极管R1来显示其状态;中间电路是FPGA芯片,其内部电路是将图1电路下载后实现的。四、实验过程及方法 1实验操作(1)建立设计项目在【File】菜单下,选择New Project Wizard(新建设计项目)命令, (2)建立与编译图形设计文件在【File】菜单下,选择New(新建文件)命令或者单击界面上方快捷命令栏中的按钮,打开新建设计文件对话框

3、,(3)仿真设计文件图形设计文件编译完成之后,还无法验证输入的原理图的逻辑关系是否正确。Quartus II 软件提供了一种仿真功能,即波形仿真功能,它是验证设计文件的最快、最容易的方法。(4)编程下载编程下载是指用当前项目的下载文件对目标器件进行数据配置的过程。首先要锁定管脚,就是将图形设计文件中原理图的输入、输出节点分配到下载目标芯片指定的管脚上。事先应根据下载目标芯片周围连接的硬件资源状况,确定其那些管脚需要锁定。在【Assignments】菜单下,选择Pins(管脚)命令或者单击界面上方快捷命令栏中的按钮,打开分配管脚对话框, 2仿真数据(波形)测量及分析与非门仿真电路的波形如图4所示

4、。图4 与非门仿真电路的波形图从图4中可以看出,只有当与非门的两个输入端A、B均为高电平时,输出Y才为低电平;而A、B为其他状态组合时,Y均为高电平。输入与输出之间满足与非逻辑关系,即Y=(A·B),仿真结果正确。异或门仿真电路的波形如图5所示。图5 异或门仿真电路的波形图从图 5中可以看出,当异或门的两个输入端A、B的电平不同时(一个为高电平,另一个为低电平),输出Y为高电平;而A、B的电平相同时,Y为低电平。输入与输出之间满足异或逻辑关系,即Y=AB,仿真结果正确。3硬件电路功能测试及分析将图1电路下载到数字电路实验箱后,数字电路实验箱硬件资源连接如图3所示。对硬件电路进行测试,

5、其结果见表1。表1 与非门硬件电路测试情况输入状态输出状态BM1 BM2红色发光二极管R1 低电平 低电平亮低电平 高电平亮高电平 低电平亮高电平 高电平灭从表1中可以看出,只有当两个拨码开关BM1、BM2均为高电平时,红色发光二极管R1才灭;而BM1、BM2为其他电平组合时,R1均亮。输入与输出之间满足与非逻辑关系,即Y=(A·B),实验结果正确。五、实验结论(或实验体会)*注:实验报告封面、实验原理图可以打印,其余部分(包括波形图)必须手工完成。实验原理图1仿真电路与非门仿真电路如图1所示。图1 与非门仿真电路图异或门仿真电路如图2所示。图2 异或门仿真电路图2数字电路实验箱硬件资源接线用数字电路实验箱实现与非门演示功能的硬件资源连接如图3所示。图3 数字电路实验箱与非门电路接线图图中,输入电路是拨动开关电路,其中BM1、BM2可为逻辑与非门的两个输入端A、B提供高、低电平;输出电路是彩灯电路,通过控制发光二极管的阳极和阴极,使发光二极管亮

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论