乐曲硬件演奏电路设计verilog_第1页
乐曲硬件演奏电路设计verilog_第2页
乐曲硬件演奏电路设计verilog_第3页
乐曲硬件演奏电路设计verilog_第4页
乐曲硬件演奏电路设计verilog_第5页
已阅读5页,还剩8页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDA技术及创新实践(设计报告)题 目: 乐曲硬件演奏电路设计 姓 名: 漩涡鸣人 学 院: 数理与信息工程学院 专 业: 电子科学与技术 班 级: 112 学 号: 1886*20 指导教师: 汤大智 2014年6 月1、 设计目的及要求实验目的:1、 理解音乐演奏的原理及分频器的原理与使用。2、 了解怎样控制音调的高低变化和音长,从而完成乐曲的自动循环演奏。3、 培养自主学习、正确分析和解决问题的能力 。要求:利用分频器设计硬件乐曲演奏电路;能通过数码管显示动态效果;通过一个开关实现两首乐曲的切换;在音乐播放的同时,会有led流水灯的闪烁。二、设计原理乐曲演奏的原理是这样的:组成乐曲的每个

2、音符的频率值(音调)及其持续的时间(音长)是乐曲能连续演奏所需的两个基本数据,因此只要控制输出到扬声器的激励信号频率的高低和持续的时间,就可以使扬声器发出连续的乐曲声。图1、顶层模块图其中,乐谱产生电路用来控制音乐的音调和音长。控制音调通过设置计数器的预置数来实现,预置不同的数值可以使计数器产生不同频率的信号,从而产生不同的音调。控制音长是通过控制计数器预置数的停留时间来实现的,预置数停留的时间越长,则该音符演奏的时间越长。每个音符的演奏时间都是0.25s的整数倍,对于节拍较长的音符,如2分音符,在记谱时将该音名连续记录两次即可。1、音调的控制频率的高低决定了音调的高低。音乐的十二平均率规定:

3、每两个8度音(如简谱中的中音1与高音1)之间的频率相差一倍。在两个8度音之间,又可分为12个半音,每两个半音的频率比为122。 另外,音名A(简谱中的低音6)的频率为440Hz,音名B到C之间、E到F之间为半音,其余为全音4。由此可以计算出简谱中从低音1至高音1之间每个音名对应的频率,如表2所示:表2简谱中的音名与频率的关系音名频率/Hz音名频率/Hz音名频率/Hz低音1261.6中音1523.3高音11046.5低音2293.7中音2587.3高音21174.7低音3329.6中音3659.3高音31318.5低音4349.2中音4698.5高音41396.9低音5392中音578

4、4高音51568低音6440中音6880高音61760低音7493.9中音7987.8高音71975.5所有不同频率的信号都是从同一个基准频率分频得到的。由于音阶频率多为非整数,而分频系数又不能为小数,故必须将计算得到的分频数四舍五入取整。若基准频率过低,则由于分频比太小,四舍五入取整后的误差较大;若基准频率过高,虽然误差变小,但分频数将变大。实际的设计综合考虑这两方面的因素,在尽量减小频率误差的前提下取合适的基准频率。本例中选取6MHz为基准频率。若无6MHz的基准频率,则可以先分频得到6MHz,或换一个新的基准频率。实际上,只要各个音名间的相对频率关系不变,演奏出的乐曲听起来都不会&quo

5、t;走调"。本例需要演奏的是梁祝乐曲,该乐曲各音阶频率及相应的分频比如表2所示。为了减小输出的偶次谐波分量,最后输出到扬声器的波形应为对称方波,因此在到达扬声器之前,有一个二分频的分频器。表2中的分频比就是从6MHz频率二分频得到的3MHz频率基础上计算得出的。由于最大的分频系数为9102,故采用14位二进制计数器分频可满足需要。在表2中,除给出了分频比以外,还给出了对应于各个音阶频率时计数器不同的预置数。对于不同的分频系数,只要加载不同的预置数即可。采用加载预置数实现分频的方法比采用反馈复零法节省资源,实现起来也容易一些,如表3所示:表3各音阶频率对应的分频比及预置数音名分频比预置

6、数音名分频比预置数低音391027281中音2511111272低音576538730中音3455211831低音668189565中音5382712556低音7607310310中音6340912974中音1573610647高音1286713516此外,对于乐曲中的休止符,只要将分频系数设为0,即初始值为2141=16383即可,此时扬声器将不会发声。音长的控制音符的持续时间必须根据乐曲的速度及每个音符的节拍数来确定。本例演奏的梁祝片段,最短的音符为4分音符,如果将全音符的持续时间设为1s的话,则只需要再提供一个4Hz的时钟频率即可产生4分音符的时长。三、底层模块设计由顶层模块图可以看到本

7、方案分成8个模块。1、48MHz分频成12MHz波形分频器,源代码和顶层模块如下/48mhz分成12mhz的分频模块module div_clk12mhz(clk_48mhz,clk_12mhz);input clk_48mhz;output clk_12mhz;reg clk_12mhz;reg 21:0 cnt;always (posedge clk_48mhz)if(cnt<1) cnt=cnt+1; / (48mhz/12mhz=4,cnt<4/2-1=1)else begin cnt=0; clk_12mhz =!clk_12mhz; endendmodule2、12MH

8、z分频成6MHz波形分频器,源代码和顶层模块如下:/12mhz分成6mhz的分频模块,提供给song模块module div_clk6mhz(clk_12mhz,clk_6mhz);input clk_12mhz;output clk_6mhz;reg clk_6mhz;reg cnt;always (posedge clk_12mhz)clk_6mhz=!clk_6mhz; endmodule 3、12MHz分频成4Hz波形分频器,源代码和顶层模块如下:/12mhz分成4hz的分频模块,提供给song模块module div_clk4hz(clk_12mhz,clk_4hz);input c

9、lk_12mhz;output clk_4hz;reg clk_4hz;reg 21:0 cnt;always (posedge clk_12mhz)if(cnt<1499999) cnt=cnt+1; / (12mhz/4hz=3000000,cnt<3000000/2-1=1499999)else begin cnt=0; clk_4hz =! clk_4hz; endendmodule4、12MHz分频成1mhz波形分频器,源代码和顶层模块如下:/12mhz分成1mhz的分频模块,提供给quma模块module div_clk1mhz(clk_12mhz,clk_1mhz);

10、input clk_12mhz;output clk_1mhz;reg clk_1mhz;reg 21:0 cnt;always (posedge clk_12mhz)if(cnt<5) cnt=cnt+1; / (12mhz/1mhz=12,cnt<12/2-1=5)else begin cnt=0; clk_1mhz =! clk_1mhz; endendmodule 5、12MHz分频成1khz波形分频器,源代码和顶层模块如下:/12mhz分成1khz的分频模块,提供给quma模块module div_clk1khz(clk_12mhz,clk_1khz);input clk

11、_12mhz;output clk_1khz;reg clk_1khz;reg 21:0 cnt;always (posedge clk_12mhz)if(cnt<5999) cnt=cnt+1; / (12mhz/1khz=12000,cnt<12000/2-1=5999)else begin cnt=0; clk_1khz =! clk_1khz; endendmodule6、 song模块源代码和顶层模块如下:/音乐产生模块module song(clk_6mhz,clk_4hz,speaker,high,med,low,k); input clk_6mhz,clk_4hz;

12、 input k; output speaker; output3:0 high,med,low; reg3:0 high,med,low; reg13:0 divider,origin; reg9:0 counter; reg speaker; wire carry;assign carry=(divider=16383); always (posedge clk_6mhz) begin if(carry) divider<=origin; else divider<=divider+1; endalways (posedge carry) begin speaker<=s

13、peaker;end always (posedge clk_4hz) begin case(high,med,low) 'b000000000011:origin<=7281; /低音3 'b000000000101:origin<=8730; /低音5 'b000000000110:origin<=9565; /低音6 'b000000000111:origin<=10310; /低音7 'b000000010000:origin<=10647; /中音1 'b000000100000:origin<=11

14、272; /中音2 'b000000110000:origin<=11831; /中音3 'b000001010000:origin<=12556; /中音5 'b000001100000:origin<=12974; /中音6 'b000001110000:origin<=13347; /中音7 'b000100000000:origin<=13516; /高音1 'b000000000000:origin<=16383; /休止符endcase endalways (posedge clk_4hz) if

15、(k=0) begin if(counter=149) counter<=0; else counter<=counter+1; case(counter) /记谱 0: high,med,low<='b000000000011; /低音"3" 1: high,med,low<='b000000000011; /持续4个时钟节拍 2: high,med,low<='b000000000011; 3: high,med,low<='b000000000011; 4: high,med,low<='

16、;b000000000101; /低音"5" 5: high,med,low<='b000000000101; /发3个时钟节拍 6: high,med,low<='b000000000101; 7: high,med,low<='b000000000110; /低音"6" 8: high,med,low<='b000000010000; /中音"1" 9: high,med,low<='b000000010000; /发3个时钟节拍 10: high,med,lo

17、w<='b000000010000; 11: high,med,low<='b000000100000; /中音"2" 12: high,med,low<='b000000000110; /低音"6" 13: high,med,low<='b000000010000; /中音"1" 14: high,med,low<='b000000000101; /低音"5" 15: high,med,low<='b000000000101; 1

18、6: high,med,low<='b000001010000; /中音"5" 17: high,med,low<='b000001010000; /发3个时钟节拍 18: high,med,low<='b000001010000; 19: high,med,low<='b000100000000; /高音"1" 20: high,med,low<='b000001100000; /中音"6" 21: high,med,low<='b000001010

19、000; /中音"5" 22: high,med,low<='b000000110000; /中音"3" 23: high,med,low<='b000001010000; /中音"5" 24: high,med,low<='b000000100000; /中音"2" 25: high,med,low<='b000000100000; /持续11个时钟节拍 26: high,med,low<='b000000100000; 27: high,me

20、d,low<='b000000100000; 28: high,med,low<='b000000100000; 29: high,med,low<='b000000100000; 30: high,med,low<='b000000100000; 31: high,med,low<='b000000100000; 32: high,med,low<='b000000100000; 33: high,med,low<='b000000100000; 34: high,med,low<=

21、9;b000000100000; 35: high,med,low<='b000000110000; /中音"3" 36: high,med,low<='b000000000111; /低音"7" 37: high,med,low<='b000000000111; 38: high,med,low<='b000000000110; /低音"6" 39: high,med,low<='b000000000110; 40: high,med,low<='b

22、000000000101; /低音"5" 41: high,med,low<='b000000000101; 42: high,med,low<='b000000000101; 43: high,med,low<='b000000000110; /低音"6" 44: high,med,low<='b000000010000; /中音"1" 45: high,med,low<='b000000010000; 46: high,med,low<='b000

23、000100000; /中音"2" 47: high,med,low<='b000000100000; 48: high,med,low<='b000000000011; /低音"3" 49: high,med,low<='b000000000011; 50: high,med,low<='b000000010000; /中音"1" 51: high,med,low<='b000000010000; 52: high,med,low<='b000000

24、000110; /低音"6" 53: high,med,low<='b000000000101; /低音"5" 54: high,med,low<='b000000000110; /低音"6" 55: high,med,low<='b000000010000; /中音"1" 56: high,med,low<='b000000000101; /低音"5" 57: high,med,low<='b000000000101; /持

25、续8个时钟节拍 58: high,med,low<='b000000000101; 59: high,med,low<='b000000000101; 60: high,med,low<='b000000000101; 61: high,med,low<='b000000000101; 62: high,med,low<='b000000000101; 63: high,med,low<='b000000000101; 64: high,med,low<='b000000110000; /中音&q

26、uot;3" 65: high,med,low<='b000000110000; /发3个时钟节拍 66: high,med,low<='b000000110000; 67: high,med,low<='b000001010000; /中音"5" 68: high,med,low<='b000000000111; /低音"7" 69: high,med,low<='b000000000111; 70: high,med,low<='b000000100000;

27、 /中音"2" 71: high,med,low<='b000000100000; 72: high,med,low<='b000000000110; /低音"6" 73: high,med,low<='b000000010000; /中音"1" 74: high,med,low<='b000000000101; /低音"5" 75: high,med,low<='b000000000101; /持续4个时钟节拍 76: high,med,low

28、<='b000000000101; 77: high,med,low<='b000000000101; 78: high,med,low<='b000000000000; /休止符 79: high,med,low<='b000000000000; 80: high,med,low<='b000000000011; /低音"3" 81: high,med,low<='b000000000101; /低音"5" 82: high,med,low<='b0000

29、00000101; 83: high,med,low<='b000000000011; /低音"3" 84: high,med,low<='b000000000101; /低音"5" 85: high,med,low<='b000000000110; /低音"6" 86: high,med,low<='b000000000111; /低音"7" 87: high,med,low<='b000000100000; /中音"2"

30、88: high,med,low<='b000000000110; /低音"6" 89: high,med,low<='b000000000110; /持续6个时钟节拍 90: high,med,low<='b000000000110; 91: high,med,low<='b000000000110; 92: high,med,low<='b000000000110; 93: high,med,low<='b000000000110; 94: high,med,low<='b

31、000000000101; /低音"5" 95: high,med,low<='b000000000110; /低音"6" 96: high,med,low<='b000000010000; /中音"1" 97: high,med,low<='b000000010000; /发3个时钟节拍 98: high,med,low<='b000000010000; 99: high,med,low<='b000000100000; /中音"2" 100:

32、 high,med,low<='b000001010000; /中音"5" 101: high,med,low<='b000001010000; 102: high,med,low<='b000000110000; /中音"3" 103: high,med,low<='b000000110000; 104: high,med,low<='b000000100000; /中音"2" 105: high,med,low<='b000000100000; 1

33、06: high,med,low<='b000000110000; /中音"3" 107: high,med,low<='b000000100000; /中音"2" 108: high,med,low<='b000000010000; /中音"1" 109: high,med,low<='b000000010000; 110: high,med,low<='b000000000110; /低音"6" 111: high,med,low<=&

34、#39;b000000000101; /低音"5" 112: high,med,low<='b000000000011; /低音"3" 113: high,med,low<='b000000000011; /持续4个时钟节拍 114: high,med,low<='b000000000011; 115: high,med,low<='b000000000011; 116: high,med,low<='b000000010000; /中音"1" 117: high,

35、med,low<='b000000010000; /持续4个时钟节拍 118: high,med,low<='b000000010000; 119: high,med,low<='b000000010000; 120: high,med,low<='b000000000110; /低音"6" 121: high,med,low<='b000000010000; /中音"1" 122: high,med,low<='b000000000110; /低音"6&quo

36、t; 123: high,med,low<='b000000000101; /低音"5" 124: high,med,low<='b000000000011; /低音"3" 125: high,med,low<='b000000000101; /低音"5" 126: high,med,low<='b000000000110; /低音"6" 127: high,med,low<='b000000010000; /中音"1" 12

37、8: high,med,low<='b000000000101; /低音"5" 129: high,med,low<='b000000000101; /持续6个时钟节拍 130: high,med,low<='b000000000101; 131: high,med,low<='b000000000101; 132: high,med,low<='b000000000101; 133: high,med,low<='b000000000101; 134: high,med,low<=&

38、#39;b000000110000; /中音"3" 135: high,med,low<='b000001010000; /中音"5" 136: high,med,low<='b000000100000; /中音"2" 137: high,med,low<='b000000110000; /中音"3" 138: high,med,low<='b000000100000; /中音"2" 139: high,med,low<='b

39、000000010000; /中音"1" 140: high,med,low<='b000000000111; /低音"7" 141: high,med,low<='b000000000111; 142: high,med,low<='b000000000110; /低音"6" 143: high,med,low<='b000000000110; 144: high,med,low<='b000000000101; /低音"5" 145: hig

40、h,med,low<='b000000000101; /持续8个时钟节拍 146: high,med,low<='b000000000101; 147: high,med,low<='b000000000101; 148: high,med,low<='b000000000101; 149: high,med,low<='b000000000101;endcaseendelse if( k=1)begin if(counter=149) counter<=0; /计时,以实现循环演奏 else counter<=

41、counter+1; case(counter) /记谱 0: high,med,low<='b000000110000; /中音"3" 1: high,med,low<='b000000110000; /持续2个时钟节拍 2: high,med,low<='b000000100000; /中音2 3: high,med,low<='b000000100000; /持续2个时钟节拍 4: high,med,low<='b000000110000; /中音"3" 5: high,med,

42、low<='b000000110000; /发10个时钟节拍 6: high,med,low<='b000000110000; 7: high,med,low<='b000000110000; 8: high,med,low<='b000000110000; 9: high,med,low<='b000000110000; 10: high,med,low<='b000000110000; 11: high,med,low<='b000000110000; 12: high,med,low<

43、='b000000110000; 13: high,med,low<='b000000110000; 14: high,med,low<='b000000100000; /中音2 15: high,med,low<='b000000100000; /持续2个时钟节拍 16: high,med,low<='b000000110000; /中音"3" 17: high,med,low<='b000000110000; /发2个时钟节拍 18: high,med,low<='b000000

44、100000; /中音2 19: high,med,low<='b000000100000; /中音2 20: high,med,low<='b000000010000; /中音"1" 21: high,med,low<='b000000010000; 22: high,med,low<='b000000010000; 23: high,med,low<='b000000010000; 24: high,med,low<='b000000010000; 25: high,med,low<

45、;='b000000010000; 26: high,med,low<='b000000010000; 27: high,med,low<='b000000010000; 28: high,med,low<='b000000010000; 29: high,med,low<='b000000010000; 30: high,med,low<='b000000010000; 31: high,med,low<='b000000010000; 32: high,med,low<='b00000

46、0000110;/低6 33: high,med,low<='b000000000110; 34: high,med,low<='b000000010000; /中音"1" 35: high,med,low<='b000000010000; 36: high,med,low<='b000000100000; /中2 有6个 37: high,med,low<='b000000100000; 38: high,med,low<='b000000100000; 39: high,med,low&

47、lt;='b000000100000; 40: high,med,low<='b000000100000; 41: high,med,low<='b000000100000; 42: high,med,low<='b000000110000; /中音3 43: high,med,low<='b000000110000; 44: high,med,low<='b000000100000; /中音"2" 45: high,med,low<='b000000100000; 46: high

48、,med,low<='b000000010000; /中音"1" 47: high,med,low<='b000000010000; 48: high,med,low<='b000000000110; /低音"6" 49: high,med,low<='b000000000110; 50: high,med,low<='b000000010000; /中音"1" 51: high,med,low<='b000000010000; 52: high,me

49、d,low<='b000000000101; /低音"5" 53: high,med,low<='b000000000101; /低音"5" 54: high,med,low<='b000000000101; 55: high,med,low<='b000000000101; 56: high,med,low<='b000000000101; /低音"5" 57: high,med,low<='b000000000101; 58: high,med,l

50、ow<='b000000000101; 59: high,med,low<='b000000000101; 60: high,med,low<='b000000000101; 61: high,med,low<='b000000000101; 62: high,med,low<='b000000000101; 63: high,med,low<='b000000000101; 64: high,med,low<='b000000000101; 65: high,med,low<='b

51、000000000101; 66: high,med,low<='b000000000101; 67: high,med,low<='b000000000101; 68: high,med,low<='b000000110000; /中音"3" 69: high,med,low<='b000000110000; 70: high,med,low<='b000000100000; /中音"2" 71: high,med,low<='b000000100000; 72: hi

52、gh,med,low<='b000000110000; /中音"3" 73: high,med,low<='b000000110000; /中音"3" 74: high,med,low<='b000000110000; /中音"3" 75: high,med,low<='b000000110000; /中音"3" 76: high,med,low<='b000000110000; /中音"3" 77: high,med,low

53、<='b000000110000; /中音"3" 78: high,med,low<='b000000110000; /中音"3" 79: high,med,low<='b000000110000; /中音"3" 80: high,med,low<='b000000110000; /中音"3" 81: high,med,low<='b000000110000; /中音"3" 82: high,med,low<='b000000100000; /中音"2" 83: high,med,low<='b000000100000; 84: high,med,low<='b000000110000; /中音"3" 85: high,med,low<='b000000110000; /中

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论