VHDL各种D触发器程序_第1页
VHDL各种D触发器程序_第2页
VHDL各种D触发器程序_第3页
VHDL各种D触发器程序_第4页
VHDL各种D触发器程序_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第一题:普通触发器LIBRARY IEEE;USE IEEE STD_LOGIC_1164 ALL;ENTITY Dchu ISPORT (CLK,D:IN STD_LOGIC;Q:OUT STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINP ROCESS (CLK,Q1) BEGINIF CLK'EVENT AND CLK='1'THEN Q1<=D;END IF;END P ROCESS;Q<=Q1;END FFQ;Q1第二题:异步清零触发器LIBRARY IEEE;US

2、E IEEE STD_LOGIC_1164 ALL;ENTITY Dchu ISPORT (CLK,D:IN STD_LOGIC;Q:OUT STD_LOGIC; ACLK:IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINP ROCESS (ACLK,CLK,Q1)BEGINIF ACLK='1'THEN Q1<='0'ELSIF CLK'EVENT AND CLK='1'THEN Q1<=D;END IF;END PROCESS;Q&

3、lt;=Q1;END FFQ;第三题 :同步清零触发器LIBRARY IEEE;USE IEE、E STD_LOGIC_1164、 ALL; ENTITY Dchu ISPORT (CLK,D:IN STD_LOGIC; Q:OUT STD_LOGIC; SCLK:IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (SCLK,CLK,Q1)BEGINIF CLK'EVENT AND CLK='1' THENIF SCLK='1' THENQ1<

4、='0'ELSE Q1<=D; END IF;END IF;END PROCESS;Q<=Q1;END FFQ;第四题 :异步置位 apre LIBRARY IEEE;USE IEE、E STD_LOGIC_1164、 ALL;PORT (CLKQAPREENTITY Dchu IS: IN STD_LOGIC;: IN STD_LOGIC; :OUT STD_LOGIC;:IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (APRE,CLK,Q1)BEGINI

5、F APRE='1'THEN Q1<='1'ELSIF CLK'EVENT AND CLK='1'THEN Q1<=D;END IF;END PROCESS;Q<=Q1;END FFQ;第五题 :同步置位 spreLIBRARY IEEE;USE IEE、E STD_LOGIC_1164、 ALL; ENTITY Dchu ISPORT (CLKSPRE: IN STD_LOGIC;: IN STD_LOGIC; :OUT STD_LOGIC;:IN STD_LOGIC);END;ARCHITECTURE FFQ OF

6、Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (SPRE,CLK,Q1)BEGINIF CLK'EVENT AND CLK='1' THENIF SPRE='1' THENQ1<='1'ELSE Q1<=D;END IF;END IF;END PROCESS;Q<=Q1;END FFQ;第六题 :异步清零 ,异步置位LIBRARY IEEE;USE IEE、E STD_LOGIC_1164、 ALL;ENTITY Dchu ISPORT (CLK: IN STD_LOGIC; ACLR

7、: IN STD_LOGIC;APRE: IN STD_LOGIC;: IN STD_LOGIC; :OUT STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (APRE,ACLR,CLK,Q1)BEGINIF ACLR='1' THENQ1<='0'ELSIF APRE='1'THEN Q1<='1'ELSIF CLK'EVENT AND CLK='1'THEN Q1<=D;END IF;

8、END PROCESS;Q<=Q1;END FFQ;第七题 :同步使能LIBRARY IEEE;USE IEE、E STD_LOGIC_1164、 ALL; ENTITY Dchu ISPORT (CLKEN: IN STD_LOGIC;: IN STD_LOGIC; :OUT STD_LOGIC;: IN STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (EN,CLK,Q1)BEGINIF CLK'EVENT AND CLK='1' THENIF EN='

9、;1' THENQ1<=D;END IF;END IF;END PROCESS;Q<=Q1;END FFQ;第八题 :异步清零 ,置位 ,同步使能LIBRARY IEEE;USE IEE、E STD_LOGIC_1164、 ALL;ENTITY Dchu ISPORT (CLKENACLRAPRE: IN STD_LOGIC;: IN STD_LOGIC;: IN STD_LOGIC;: IN STD_LOGIC;: IN STD_LOGIC; :OUT STD_LOGIC);END;ARCHITECTURE FFQ OF Dchu ISSIGNAL Q1:STD_LOGIC;BEGINPROCESS (APRE,ACLR,EN,CLK,Q1)BEGINIF ACLR='1' THEN Q1<='0'ELSIF APRE='1

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论