试验三--8-3优先编码器和8线译码器讲解学习_第1页
试验三--8-3优先编码器和8线译码器讲解学习_第2页
试验三--8-3优先编码器和8线译码器讲解学习_第3页
试验三--8-3优先编码器和8线译码器讲解学习_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、实验三 8-3优先编码器和3-8线译码器 、实验目的1、熟悉常用编码器,译码器的功能逻辑。2、熟悉VHDL的代码编写方法。3、掌握复杂译码器的设计方法。、实验原理1、8-3线优先编码器的真值表。X1X2X3X4X5X6X7X8Y2Y1Y0XXXXXX0X000XXXXX01X001XXXX011X010XXX0111X011XX0X100X01111X1010111111X1101111111X1112、逻辑表达式:Y2=X4&X5&X6&X7丫仁 (X2 )&X4&X5|(X3)&X4&X5|(X6)|(X7);Y0=(X1) &

2、;X2&X4& X6|(X3) &X4& X6|(X5) &X6|(X7);2、3-8线码器总体思路以EP2C5中的三个拨位开关,SW3, SW2,SW1为三个输入信号,可以代表 8种 不同的状态,该译码器对这 8种状态译码,并把所译码的结果在七段LED数码管上显示出来。三、实验连线1、 将EP2C5适配板左下角的 JTAG用十芯排线和万用下载区左下角的SOPC JTAG 口连接起来,万用下载区右下角的电源开关拨到SOPC下载的一边2、 请将JPLED1短路帽右插,JPLED的短路帽全部上插。3、请将JP103的短路帽全部插上。四、实验步骤及波形按照步骤

3、三正确连线,参考实验二步骤,完成项目的建立,文件的命名,文件的编辑, 语法检查,引脚分配,编译,下载。8-3优先编码器参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY encode ISPORT(XINA :IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y0,Y1,Y2: OUT STD_LOGIC;OUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);LEDW: OUT S

4、TD_LOGIC_VECTOR(2 DOWNTO 0);END en code;ARCHITECTURE ADO OF encode ISSIGNAL LED: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL XIN: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINXIN<=XINA;LEDW<="000"PROCESS (XIN)BEGINCASE XIN ISWHEN x"00"WHEN x"01"WHEN x"02"WHEN x"04&quo

5、t;WHEN x"08"WHEN x"10"WHEN x"20"WHEN x"40"WHEN x"80"> OUTA<=x"3F"> OUTA<=x"06"> OUTA<=x"5B"> OUTA<=x"4F"> OUTA<=x"66"> OUTA<=x"6D"> OUTA<=x"7D&

6、quot;> OUTA<=x"07"> OUTA<=x"3F"WHEN OTHERS => OUTA<=x"3F"END CASE; END PROCESS; PROCESS (XIN) BEGINCASE XIN IS> LED<="001"> LED<="010"> LED<="011"> LED<="100"> LED<="101"&g

7、t; LED<="110"> LED<="111"> LED<="000"WHEN x"01"WHEN x"02"WHEN x"04"WHEN x"08"WHEN x"10"WHEN x"20"WHEN x"40"WHEN x"80"WHEN OTHERS => LED<="000"END CASE;END PROC

8、ESS; Y2<=LED(2);Y1<=LED(1);Y0<=LED(0);END ADO;3-8 译码器参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DECODE ISPORT(DATA_IN :IN STD_LOGIC_VECTOR(2 DOWNTO 0); LEDOUT,DATA_OUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);LEDW :OUT STD_LOGIC_VECTOR(2 DOWNTO 0) );END DECOD

9、E; ARCHITECTURE ADO OF DECODE ISSIGNAL OUTA,D_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINLEDW<="000"PROCESS (DATA_IN)VARIABLE DIN: STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINDIN:=DA TA_IN;LEDOUT<=OUTA; DATA_OUT<=D_OUT;CASE DIN ISwhen "000"=>OUTA<="00111111"-"0&

10、quot;when "001"=>outa<="00000110"-"1"when "010"=>outa<="01011011"-"2"whe n "011"=>outa<="01001111"-"3"whe n "100"=>outa<="01100110"-"4"when "101"=

11、>outa<="01101101"-"5"whe n "110"=>outa<="01111101"-"6"whe n "111"=>outa<="00000111"-"7"WHEN OTHERS => OUTA<="XXXXXXXX" END CASE;CASE DIN ISWHEN "000" => D_OUT<="0000

12、0000"WHEN "001" => D_OUT<="00000001"WHEN "010" => D_OUT<="00000010"WHEN "011" => D_OUT<="00000100"WHEN "100" => D_OUT<="00001000"WHEN "101" => D_OUT<="00010000"WHEN

13、"110" => D_OUT<="00100000"WHEN "111" => D_OUT<="01000000"WHEN OTHERS=> D_OUT<="XXXXXXXX" END CASE;END PROCESS;END ADO;五、实验仿真8-3编码器引脚锁定如图:*恤tcjy ArtF 蚊 Pns:d-口 wLan'itef >oupiHti5i3rdardPe-aTii&i1O" LzRhDCutpitP1UJ4L3

14、aimLdTTLQd 吐 JitUELVlD. 2zLE站训IXitpitPJM.142dH3_NOrL jdBt=JtLECO. 23U 住 Ml/extentPIHJ3B3JI0UiTTLlElWl4L OUTPIDI1Bi.ro.-TL jdct|Jr.:.'&v curp(i|kfuL1BJJOMIL JdifiJljXJTJjfl. J£tf OUT4SI饲mlCJU1BUfl."TL Mrtji)期血匚廿 OJT4JJ1BU10L'FMXIooro.7Ao jurq+jUEpUl1HJLjOJUI NUiLWTLdrfMl)LdrAU.

15、Jo jurqsOjpjtPIN J1HIMidr4u. j*UT4b仙财tPWJ31Bl NUurritdMtouru. J)1MlUtB<NU如i竝=12 ITMP1MJ31BI N1OF :姑前13IF IW:lIrpi:F11LH1BIJ1:dtfJl':M:'/)卿IT -W2:u.P1M.*510I.M1:M:,"It 砒耳PIL*61Fi.kl、F 如 II)W,7刚打1盯L、m Jdff.Jr)Wl? E17l* 吩mat1盯鼻1LUTKJdFTjf忡 JJ-1*-M;6qLhTTL 训血 dtj心打p学1B-+JL*询加tA'Al :l

16、PMJ31Bl NQL-Hl jdrUt21O fjDuteut呱J1B1JOL-TTLCdmJf凱22O ftMH JiBIJD.-TL jd 吐创图5-1JfsI'ETOTLT2OUTA1I3W10 ? BSMJ.g nsJUL J.LOLX 1DKUDJ101 IQL1U. 丫订】迪.H JJUVKIU讥nKUlLijMin L U图5-2仿真波形如图:3-8译码器引脚锁定如图:tifjf Itnhriflifid3l>即l.<3Tin miJiiLi祎 rTAjrfillirilHU «fl Mllvth械卄TTAJh(r. .TiEnpJ”IJLDLVT

17、HKM" £t袖33 0jnDL¥TlL<±f.3jft|:iiii ounin.7i2 UiTA_0UT3剛上1>L UiL-T1L Mail*v r*Tr._xrn:山n>ij5n"inivm肘,則母 HTRnt a“FRfflJO1BIJOivnirW-inTsiKpmTji 可RLMLvrn defajti:茁二-M lrfi 0UTI5gitFiHjaLVOLutefatlXW卫叩IL刃adLYTTL MallILU MTR (MM川FIKJSfiljuNIL就汕询叮)肝血月Fill 3Bl U)山址T|ifccun

18、T nt? itPiTi切& LEKL12:aL_mlyttl Wu-EEOJITtD. 7禅吧灿书ou>rpfiij0LJ®LVTiLt drf-aJ:)-EEnjlfU .79«曲 II. 町nlkjiiLW1L - itf-sJciiltaJffa 打2 uKUl5k出11BL U>L*T1L M»l|J£<tJfLL ?IQ2 LiKCKil刖虫1Bl.WJ&W町190 lFK<npcv;“nnwK3 UiLVTH.Frojira 7i囲W L=OuZJijrFlNwHJ3BLCLVT1L ifaJt2141L£DU|3卜出少EB1JLB

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论