带时间显示的交通灯课程设计PLC_第1页
带时间显示的交通灯课程设计PLC_第2页
带时间显示的交通灯课程设计PLC_第3页
带时间显示的交通灯课程设计PLC_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 综合成绩优秀 良好 优秀 良好 不及格 教师签名批改日期2021年 月 日 PLC 课程设计报告院系 电子与电气工程学院 专业 电气工程及其自动化 班级 电气3081 学号3082110123姓名 周晓文 2021年1月课题名称:带时间显示的交通灯课题分值:10分1、 控制要求:信号灯守两个启动按钮控制,当SB1按下后,信号灯系统执行夜间工作模式,两车道黄灯以2秒为周期不停闪烁。当SB2按下后,信号等系统执行白天模式,具体要求如下。南北红灯维持25秒,在南北红灯亮的同时东西绿灯也亮,并维持20秒。到20秒时,东西绿灯也亮,闪亮3秒后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2秒。到2秒后,东

2、西黄灯熄灭,东西红灯亮,同时南北红灯熄灭,绿灯亮。东西红灯维持30秒。南北绿灯维持25秒,然后闪亮3秒后熄灭,这时南北红灯亮,东西绿灯亮。周而复始。按下停止按钮SB3后,全部灯熄灭。白天工作方式必须完成两种时间显示模式:1) 两个LED组合显示南北红灯倒计时时间东西红灯时间不显示。2) 分别用一个LED显示东西、南北红灯倒计时时间自行将工作周期压缩至个位数。2、 控制系统设计分析输入输出点统计序号元器件用途1SB12SB23总停开关5模式转换开关6南北绿灯7南北黄灯8南北红灯9东西绿灯10东西黄灯11东西红灯12数码管G14数码管F15数码管E16数码管D17数码管C18数码管B19数码管A2

3、0东西夜间黄灯21南北夜间黄灯三 PLC系统硬件配置槽号12345模块选择PS307 5ACPU314-2DPSM321 DC 24V 16点SM322DO 24V16点I/O点范围六、PLC程序设计library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sheji3 isport(clk,ck:in std_logic; sel:in std_logic_vector(1 downto 0); sm:out std_logic_vector(6 downto 0); duan:out st

4、d_logic_vector(5 downto 0);end sheji3;architecture art of sheji3 is signal cnt:std_logic_vector(3 downto 0); signal temp:integer range 0 to 5; signal x:std_logic_vector(3 downto 0); signal y:std_logic_vector(3 downto 0) beginp1:process(clk) begin if(clk'event and clk='1') then case sel i

5、s when "00"=>if(cnt>="0100")then cnt<="0000" else cnt<=cnt+1; end if; when "01"=>if(cnt=>"1000" or cnt="0001" or cnt="0011" or cnt="0101" or cnt="0111")then cnt<="0000" else cnt&l

6、t;=cnt+2; end if; when "10"=>if(cnt>="1001" or cnt="0000" or cnt="0010" or cnt="0100" or cnt="0110" or cnt="1000")then cnt<="0001" else cnt<=cnt+2; end if; when"11"=>if(cnt>"0101" or

7、 cnt="0001" or cnt="0000")then cnt<="0101" else cnt<=cnt-1; end if; when others=>cnt<="1111" end case; y<=cnt; end if;end process p1; p2:process(ck)begin if(ck'event and ck='1')then case temp is when 0=>duan<="000001"

8、 x<=y; when 1=>duan<="000010" x<=y; when 2=>duan<="000100" x<=y; when 3=>duan<="001000" x<="00"&sel; when 4=>duan<="010000" x<="00"&sel; when others=>duan<="100000" x<="

9、00"&sel; end case; temp<=temp+1; end if; end process p2;p3:process(x) begin case x is when"0000"=>sm<="1111110" when"0001"=>sm<="0110000" when"0010"=>sm<="1101101" when"0011"=>sm<="1111001" when"0100"=>sm<="0110011" when"0101"=>sm<="1011011" when"0110"=>sm<="1011111" when"0111"=>sm<="1110000" when"1000"=>

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论