自动可乐售货机_第1页
自动可乐售货机_第2页
自动可乐售货机_第3页
自动可乐售货机_第4页
自动可乐售货机_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、课 程 设 计 任 务 书专 业电子信息班 级08电子1姓 名唐刚设 计 起 止 日 期2010-7-52010-7-11设计题目: 自动可乐售货机设计任务(主要技术参数): 用两个发光二极管分别模拟售出面值为3元和5元的可乐,购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。用开关分别模拟1元,5元和10元人民币投入,用发光二极管分别代表着回剩余的硬币。每次只能售出1罐可乐,当所投硬币达到或超过购买者所选面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态;当所投人民币不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。指导教师评语:成绩: 签字:年 月 日课程设计说明书 NO

2、.12自动可乐售货机1、课程设计的目的通过实践深入理解计算机组成原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对自动可乐售货机的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。学习掌握muxplus 2设计实用电路的方法,通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使我们具有一定的实践操作能力。2、设计内容与要求用两个发光二极管分别模拟售出面值为3

3、元和5元的可乐,购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。用开关分别模拟1元,5元和10元人民币投入,用发光二极管分别代表着回剩余的硬币。要求每次只能售出1罐可乐,当所投硬币达到或超过购买者所选面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态;当所投人民币不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。2.1 MAX+PLUS II软件MAX plus II软件是一款高效的、非常灵活的数字电路开发设计软件,它提供了多种输入方法供设计者选用,利用合适的输入方法设计完数字系统之后,设计者可利用逻辑综合工具进行逻辑综合,并可以用仿真器进行软件仿真,使设计者能够尽早发现设

4、计中的错误,缩短设计周期,能直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库。该软件能进行任意层次的数字系统设计,对系统中的任意层次,或任意元件的功能进行精确的时序仿真;通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证,对器件的了解可使设计者更好的利用器件资源,掌握硬件描述语言可以快速的用VHDL进行设计。进入编辑VHDL语言界面,屏幕上用鼠标点击File选项,此时出现子菜单如图所示 图1 编辑VHDL语言界面由于是输入新文件,故点击子菜单中的NEW项,接着屏幕出现NEW的对话框如图1-1所示图2 NEW的对话框选择第三项,点击对话框的OK按

5、钮,屏幕将会出现一个无名的编辑窗口,如图1-2所示 图3 文本编辑窗口在无名的文本编辑窗口下输完程序后点击File下的Save,在屏幕上会弹出一个对话框, 在FileName中输入文件名,文件名必须跟程序中的工程名一致,文件的扩展名为.VHD。2.2 、EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由

6、计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。 2.2.1、EDA技术的特点 利用EDA技术进行电子系统的设计,具有以下几个特点:1、用软件的方式设计硬件;2、 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;3、 设计过程中可用有关软件进行各种仿真;4、系统可现场编程,在线升级;5、 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。2.3 EDA设计流程 EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用

7、电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业。典型的EDA设计流程如下:1、文本/原理图编辑与修改。首先利用EDA工具的文本或图形编辑器将设计者的设计意图用文本或图形方式表达出来。 2、编译。完成设计描述后即可通

8、过编译器进行排错编译,变成特定的文本格式,为下一步的综合做准备。 3、 综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关键步骤。4、 行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计描述与设计意图的一致性。5、适配。利用FPGA/CPLD布局布线适配器将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描述情况。 6、 功能仿真和时序仿真。7、 下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载文件通过FPGA/CPLD下载电缆载

9、入目标芯片中。 8、 硬件仿真与测试。2.4硬件描述语言(VHDL)2.4.1 VHDL的介绍VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面

10、后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本。2.4.2 VHDL语言的特点1.用VHDL代码而不是用原理图进行设计,意味着整个电路板的模型及性能可用计算机模拟进行验证。2.VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。3.VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4.可以进行从系统级到逻辑级的描述,即混合描述。5.VHDL区别于其他的HDL,已形成标准,其代码在不同的系统中可交换建模。3、设计结果与分析3.1代码输入: library ieee; use ieee.std_logic_116

11、4.all;use ieee.std_logic_unsigned.all;entity kele is port(m1,m5,m10:in std_logic; t3,t5:in std_logic; reset:in std_logic; clk1:in std_logic; s3,s5:out std_logic; ch:out std_logic_vector(4 downto 0);end kele;architecture ke_arc of kele isbegin process(clk1,m1,m5,m10,t3,t5,reset) variable money:std_lo

12、gic_vector(4 downto 0); variable a:std_logic; variable cnt:integer range 0 to 60; begin if clk1'event and clk1='1' then if a='1' then if m1='0' then money:=money+1; elsif m5='0' then money:=money+5; elsif m10='0' then money:=money+10; elsif reset='0

13、9; then ch<=money; a:='0' elsif t3='1' and money>2 then ch<=money-3; s3<='1' a:='0' elsif t5='1' and money>4 then ch<=money-5; s5<='1' a:='0' end if; else if cnt<60 then cnt:=cnt+1; else cnt:=0; money:="00000"

14、s3<='0' s5<='0' ch<="00000" a:='1' end if; end if; end if; end process; end ke_arclibrary ieee;use ieee.std_logic_1164.all;entity ciao is port(a,clk:in std_logic; b:out std_logic);end ciao;architecture c_arc of ciao issignal tmp1:std_logic; begin process(cl

15、k,a)variable tmp3,tmp2:std_logic;begin if clk'event and clk='0' then tmp1<=a; tmp2:=tmp1; tmp3:=not tmp2; end if;b<=tmp1 and tmp3 and clk;end process;end c_arc;生成器件如下:图4元件图3.2原理图编辑单击图形编辑,单击在菜单栏中选择File New命令或单击工具栏中的 按钮,弹出如图对话框: 图5选择图形编辑 选择对话框中的图形编辑,然后点击“OK”按钮双击图纸空白处,或在菜单栏中选择Symbol E

16、nter Symbol ,弹出对话框: 选择自己文件保存的位置,选择ciao,,所示引入输入和输出引脚,按照输入功能模块的方法,打EnterSymbol对话框,在其中输入“OUTPUT”,单击“OK”,则输入引脚符号显示在图形文件窗口中,按CTRL键,将鼠标放OUTPUT上,按下左键拖动鼠标,在其下创建“OUTPUT“符号的副本。切记在复制过程中不要放松CTRL键,重复步骤引入“INPUT”符号。编辑如图连线: 图6 编辑连线 3.3 仿真结果 为了验证本设计的正确性,在MAX+PLUS集成开发平台下对所编写的代码进行了编译仿真,仿真波形图如图:图7 仿真图图8 仿真图4、课程设计心得体会  在这次课程设计中,通过对自动可乐售货机的设计,使我了解EDA设计的基本方法,学到更多有关于程序设计仿真方面的知识,把我们所学的理论知识应用到实际过程中来了,真正的将理论和实践联系在一起,也提高了我们对实践操作和理论研究的兴趣。 在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数字系统的设计方便灵活,利用EDA软件进行编译优化仿真极大地减少了电路设计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系统设计中

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论