四路抢答器设计_第1页
四路抢答器设计_第2页
四路抢答器设计_第3页
四路抢答器设计_第4页
四路抢答器设计_第5页
已阅读5页,还剩7页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1 目录1 功能介绍 . 2 1.1 主要功能介绍 . 2 1.2 扩展功能介绍 . 2 2 总体方案设计 . 2 3 单元模块设计 . 3 3.1 抢答器控制端电路功能介绍. 3 3.3 控制电路和报警电路 . 5 3.4 振荡电路 . 6 4 主要芯片介绍 . 6 4.1 优先编码器 74ls148 . 6 4.2 计数器 74ls192 . 8 5 四人抢答器仿真 . 9 6 系统调试 . 9 7 参考文献 . 122 1 功能介绍1.1 主要功能介绍(1)抢答器最多可供 4 名选手参赛, 编号为 14 号,各队分别用一个按钮 (分别为 j1、j2、j3、j5)控制,并设置一个系统清零和

2、抢答控制开关j4,j7,该开关由主持人控制。(2)抢答器具有数据锁存功能,并将锁存数据用led 数码管显示出来,直到主持人清零。(3)开关 j4作为清零及抢答控制开关 (由主持人控制), 当开关 j4 被按下时抢答电路清零,当开关 j7 松开后则允许抢答。输入抢答信号由抢答按钮开关j1、j2、j3、j5 实现。(4)有抢答信号输入 (开关 j1、j2、j3、j5 中的任意一个开关被按下) 时,并显示出相对应的组别号码。 此时再按其他任何一个抢答器开关均无效,指示灯依旧 “ 保持” 第一个开关按下时所对应的状态不变。1.2 扩展功能介绍(1)抢答器具有定时抢答的功能,且一次抢答的时间为3 秒。当

3、节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示。(2)参加选手在未开始抢答时按下抢答键,则犯规。显示器上显示并闪烁选手的编号。(3)参加选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。(4)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,封锁输入电路,禁止选手超时后抢答,时间显示器上显示并闪烁0。2 总体方案设计设计要求3 (1)主持人有开始键和复位键,按下开始键后才能开始抢答,否则犯规。(2)用数码管显示,正常抢答后显示抢到的队号,如果犯规则闪烁显示队号。(3)如果 3秒内没有抢答,则说明该题超

4、时作废,用0 闪烁表示。(4)复位键用于恢复犯规或超时状态如图 1 所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到清零状态,抢答器处于禁止状态, 编号显示器灭灯, 定时器显示设定时间; 主持人将开关置 ;开始状态,宣布开始抢答器工作。 定时器倒计时。 选手在定时时间内抢答时, 抢答器完成: 优先判断、编号锁存、 编号显示。当一轮抢答之后, 定时器停止、 禁止二次抢答、 定时器显示剩余时间。如果再次抢答必须由主持人再次操作清除和开始状态开关。图 13 单元模块设计3.1 抢答器控制端电路功能介绍设计电路见图 2 所示。电路选用优先编码器74ls148 和锁存器 74ls175 来完

5、成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码4 显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关自上而下,分别是j1,j2,j3 j4,j5,j5 是控制清零端,当j5 闭合(清零)时,计时模块74ls160 的 mr 端和抢答模块 74ls175 的 mr 端都置 1,使整个模块处于等待工作状态;当j5 断开时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下 j2),74ls148 的输出经 74ls48 译码器接到七段显示电路处于工作状态,4q3q2q=010, 经译码显示为 “ 2

6、” 。此外, mr1,使 74ls148 优先编码工作标志端(图中2 号端)1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74ls148 的 此时由于仍为 ctr1,使优先编码工作标志端为1,所以 74ls148 仍处于禁止状态, 确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将j5 开关重新置“ 清零” 然后才可能进行。图 2 3.2 定时时间电路功能介绍5 原理及设计:该部分主要由 555 定时器秒脉冲产生电路、 十进制同步加法计数器74ls160 、十进制减法计数器 74ls192 、74ls48 译码电路和 1 个 7 段数码管即相关电路组成。具

7、体电路如图3所示。一块 74ls192 实现减法计数,通过译码电路74ls48 显示到数码管上,其时钟信号由时钟产生电路提供。 74192 的预置数控制端实现预置数,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,之后选手抢答无效。图 3 3.3 控制电路和报警电路由 555 芯片构成多谐振荡电路,555 的输出信号再经或门控制时序。 控制电路包括时序和报警两个电路,如图 3 所示。控制电路需具有以下几个功能:6 主持人闭合开关 ,多路抢答器电路和计时电路进入正常状态; 参赛者按键时,抢答电路和计时电路停止工作 ;抢答时间到,无人抢答,

8、抢答电路和计时电路停止工作。3.4 振荡电路本系统需要产生频率为500khz 的脉冲信号,用于触发器的cp信号,及频率为 1hz 信号用于计时电路。 以上电路可用 555定时器组成, 也可用石英晶体组成的振荡器经过分频得到。4 主要芯片介绍4.1 优先编码器74ls148 74ls148 为 8 线3 线优先编码器, 表 4.1.1 为其真值表, 表 4.1.2 为其功能表 ,图 4.1.1为其管脚图。i0i1i2i3i4i5i6i7sy1y2yexysy9761415101112131234574ls14801234567874ls148161514131211109i4i5i6i7s(e)

9、y2y1gndvccysyexi3i2i1i0y0(a)(b)74ls148 管脚图表 4.1.2 74ls148 8 线3 线二进制编码器真值表7 74ls148 工作原理如下:该编码器有 8 个信号输入端, 3 个二进制码输出端。 此外,电路还设置了输入使能端ei,输出使能端 eo 和优先编码工作状态标志gs。当 ei=0 时,编码器工作;而当ei=1 时,则不论 8 个输入端为何种状态, 3 个输出端均为高电平,且优先标志端和输出使能端均为高电平,编码器处于非工作状态。这种情况被称为输入低电平有效,输出也为低电来有效的情况。当ei 为 0,且至少有一个输入端有编码请求信号(逻辑0)时,优

10、先编码工作状态标志gs 为 0。表明编码器处于工作状态,否则为 1。由功能表可知,在 8 个输入端均无低电平输入信号和只有输入0 端(优先级别最低位)有低电平输入时, a2a1a0 均为 111,出现了输入条件不同而输出代码相同的情况,这可由gs 的状态加以区别,当gs1 时,表示 8 个输入端均无低电平输入,此时a2a1a0=111为非编码输出; gs0 时,a2a1a0=111表示响应输入 0 端为低电平时的输出代码(编码输出)。 eo 只有在 ei 为 0,且所有输入端都为1 时,输出为 0,它可与另一片同样器件的 ei 连接,以便组成更多输入端的优先编码器。从功能表不难看出,输入优先级

11、别的次为7,6,0。输入有效信号为低电平,当某一输入端有低电平输入, 且比它优先级别高的输入端无低电平输入时,输出端才输出相对应的输入端的代码。例如5 为 0。且优先级别比它高的输入6 和输入 7 均为 1 时,输出代码8 为 010,这就是优先编码器的工作原理。4.2 计数器 74ls192 74ls192 具有下述功能:异步清零: cr=1,q3q2q1q0=0000 异步置数: cr=0,ld=0,q3q2q1q0=d3d2d1d0 保持:cr=0,ld=1,cpu=cpd=1,q3q2q1q0保持原态加计数: cr=0, ld=1,cpu=cp,cpd=1,q3q2q1q0按加法规律计

12、数减计数: cr=0, ld=1,cpu=1,cpd= cp,q3q2q1q0 按减法规律计数74ls192 是双时钟方式的十进制可逆计数器。cpu 为加计数时钟输入端, cpd 为减计数时钟输入端。ld 为预置输入控制端,异步预置。cr 为复位输入端,高电平有效,异步清除。co 为进位输出: 1001状态后负脉冲输出bo 为借位输出: 0000 状态后负脉冲输出。9 图 4.3. 74ls192 管脚引线图5 四人抢答器仿真按照总体电路图在仿真软件proteus7.5上一一选择芯片并进行连接,然后启动开关观察。下面,我们分两部分对设计出的电路进行proteus7.5仿真。我们将各部分电路在proteus7.5上连接好后,为各个电阻和电容选取适当值,为各个开关设置好适当的键盘打开数值(例如,为某一开关设为1 连接,则启动 proteus7.5m 仿真按钮后,在键盘上按1 则此开关就由断开状态变为连接状态)然后打开proteus7.5的开关,即可根据显示器上显示的数字以及二极管的亮灭情况来判断电路设计是否成功。6 系统调试把上面所设计的单元电路连接起来可得到整机电路。7.1 抢答显示功能测试(图4)7.2 清零功能测试(图5)7.3 倒计时功能测试 (图 6) 10 图 4 图 5 11 图 6 12 7 参考文献1电气控制与 plc 案例教程,胡汉文,张鑫主编2p

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论