




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、下载可编辑4m分频library ieee;entity div4 isport(clk_in : in std_logic;clk_out : out std_logic);end;architecture a of div4 issignal cnt : integer range 0 to 6;signal clk_tmp : std_logic;beginprocess(clk_in)beginif (clk_in'event and clk_in='1') thenif cnt=6 thencnt<=0;clk_tmp<= not clk_tmp;
2、elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;4 分频library ieee;entity div4m isport(clk_in : in std_logic;clk_out : out std_logic);end;architecture a of div4m issignal cnt : integer range 0 to 6249999;signal clk_tmp : std_logic;beginprocess(clk_in)beginif (clk_in'event and c
3、lk_in='1') then.专业 .整理 .下载可编辑if cnt=6249999 thencnt<=0;clk_tmp<= not clk_tmp;elsecnt<=cnt+1;end if;end if;end process;clk_out<=clk_tmp;end;speakerLIBRARY IEEE;ENTITY Speaker ISPORT(CK4MHZ:IN STD_LOGIC;YINFU:IN INTEGER RANGE 0 TO 21;SP:OUT STD_LOGIC);END Speaker;ARCHITECTURE cnt O
4、F Speaker ISSIGNAL count:INTEGER :=0;SIGNAL F,TWO:STD_LOGIC;BEGINPROCESS(YINFU,CK4MHZ)BEGINIF(CK4MHZ'EVENT AND CK4MHZ = '1') THENIF(YINFU = 0) THEN F<='0'ELSIF(YINFU =1) THEN count <=count +1;IF(count<7644)THEN F <='0'ELSE F <='1'count <=0;END IF
5、;ELSIF(YINFU = 2) THEN count<=count +1;IF(count<6810)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 3) THEN count<=count +1;IF(count<6068)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 4) THEN count<=count +1;IF(count<57
6、26)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 5) THEN count<=count +1;IF(count<5102)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 6) THEN count<=count +1;IF(count<4546)THEN F<='0'ELSE F<='1'count <
7、;=0;.专业 .整理 .下载可编辑END IF;ELSIF(YINFU = 7) THEN count<=count +1;IF(count<4050)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 8) THEN count<=count +1;IF(count<3822)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 9) THEN count<
8、=count +1;IF(count<3406)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 10) THEN count<=count +1;IF(count<3034)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 11) THEN count<=count +1;IF(count<2864)THEN F<='0'ELSE
9、F<='1'count <=0;END IF;ELSIF(YINFU = 12) THEN count<=count +1;IF(count<2552)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 13) THEN count<=count +1;IF(count<2272)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 14)
10、 THEN count<=count +1;IF(count<2024)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 15) THEN count<=count +1;IF(count<1912)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 16) THEN count<=count +1;IF(count<1702)THEN F<=&
11、#39;0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 17) THEN count<=count +1;IF(count<1516)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 18) THEN count<=count +1;IF(count<1432)THEN F<='0'ELSE F<='1'count <=0;END IF;EL
12、SIF(YINFU = 19) THEN count<=count +1;IF(count<1276)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 20) THEN count<=count +1;IF(count<1136)THEN F<='0'ELSE F<='1'count <=0;END IF;ELSIF(YINFU = 21) THEN count<=count +1;.专业 .整理 .下载可编辑
13、IF(count<1012)THEN F<='0'ELSE F<='1'count <=0;END IF;END IF;END IF;END PROCESS;PROCESS(F)BEGINIF(F'EVENT AND F = '1') THEN -输出之前的二分频TWO <=NOT TWO;END IF;SP <=TWO;END PROCESS;END cnt;YinfuLIBRARY IEEE;ENTITY yinfu ISPORT(CK4HZ:IN STD_LOGIC;YF:OUT INTEGER
14、RANGE 0 TO 21);END yinfu;ARCHITECTURE NAME OF yinfu ISSIGNAL COUNT:INTEGER:=0;BEGINPROCESS(ck4HZ)BEGINIF(ck4HZ'EVENT AND ck4HZ='1') THENIF(COUNT <101) THEN COUNT <=COUNT+1;ELSE COUNT<=0;END IF;END IF;END PROCESS;PROCESS(COUNT)BEGINCASE COUNT ISWHEN 00 => YF <=0;WHEN 01 =&g
15、t; YF <= 0;WHEN 02 => YF <=13;WHEN 03 => YF <=14;WHEN 04 => YF <=15;WHEN 05 => YF <=15;WHEN 06 => YF <=15;WHEN 07 => YF <=15;WHEN 08 => YF <=15;WHEN 09 => YF <=15;WHEN 10 => YF <=15;WHEN 11 => YF <=14;.专业 .整理 .下载可编辑WHEN 12 => YF <=
16、14;WHEN 13 => YF <=17;WHEN 14 => YF <=17;WHEN 15 => YF <=17;WHEN 16 => YF <=17;WHEN 17 => YF <=20;WHEN 18 => YF <=20;WHEN 19 => YF <=20;WHEN 20 => YF <=20;WHEN 21 => YF <=0;WHEN 22 => YF <=19;WHEN 23 => YF <=19;WHEN 24 => YF <=1
17、8;WHEN 25 => YF <=19;WHEN 26 => YF <=15;WHEN 27 => YF <=15;WHEN 28 => YF <=15;WHEN 29 => YF <=18;WHEN 30 => YF <=18;WHEN 31 => YF <= 18;WHEN 32 => YF <=17;WHEN 33 => YF <=17;WHEN 34 => YF <=17;WHEN 35 => YF <=17;WHEN 36 => YF <=
18、16;WHEN 37 => YF <=16;WHEN 38 => YF <=16;WHEN 39 => YF <=16;WHEN 40 => YF <=15;WHEN 41 => YF <=14;WHEN 42 => YF <=14;WHEN 43 => YF <=15;WHEN 44 => YF <=15;WHEN 45 => YF <=15;WHEN 46 => YF <=14;WHEN 47 => YF <=14;WHEN 48 => YF <=
19、13;WHEN 49 => YF <=14;WHEN 50 => YF <=15;WHEN 51 => YF <=15;WHEN 52 => YF <=15;WHEN 53 => YF <=15;WHEN 54 => YF <=15;WHEN 55 => YF <=15;.专业 .整理 .下载可编辑WHEN 56 => YF <=15;WHEN 57 => YF <=15;WHEN 58 => YF <=14;WHEN 59 => YF <=14;WHEN 60
20、=> YF <=17;WHEN 61 => YF <= 17;WHEN 62 => YF <=17;WHEN 63 => YF <=20;WHEN 64 => YF <=20;WHEN 65 => YF <=20;WHEN 66 => YF <=20;WHEN 67 => YF <=0;WHEN 68 => YF <=19;WHEN 69 => YF <=19;WHEN 70 => YF <=18;WHEN 71 => YF <=19;WHEN 72
21、=> YF <=19;WHEN 73 => YF <=19;WHEN 74 => YF <=15;WHEN 75 => YF <=15;WHEN 76 => YF <=15;WHEN 77 => YF <=15;WHEN 78 => YF <=18;WHEN 79 => YF <=18;WHEN 80 => YF <=18;WHEN 81 => YF <=18;WHEN 82 => YF <=19;WHEN 83 => YF <=19;WHEN 84
22、=> YF <=19;WHEN 85 => YF <=18;WHEN 86 => YF <=17;WHEN 87 => YF <=17;WHEN 88 => YF <=16;WHEN 89 => YF <=16;WHEN 90 => YF <=15;WHEN 91 => YF <= 15;WHEN 92 => YF <=14;WHEN 93 => YF <=14;WHEN 94 => YF <=13;WHEN 95 => YF <=13;WHEN 96
23、 => YF <=13;WHEN 97 => YF <=13;WHEN 98 => YF <=0;WHEN 99 => YF <=0;.专业 .整理 .下载可编辑WHEN 100 => YF <=6;WHEN 101 => YF <=6;WHEN OTHERS => NULL;END CASE;END PROCESS;END NAME;Yinfu1LIBRARY IEEE;ENTITY yinfu1 ISPORT(CK4HZ:IN STD_LOGIC;YF:OUT INTEGER RANGE 0 TO 21);END
24、 yinfu1;ARCHITECTURE NAME OF yinfu1 ISSIGNAL COUNT:INTEGER:=0;BEGINPROCESS(ck4HZ)BEGINIF(ck4HZ'EVENT AND ck4HZ='1') THENIF(COUNT <118) THEN COUNT <=COUNT+1;ELSE COUNT<=0;END IF;END IF;END PROCESS;PROCESS(COUNT)BEGINCASE COUNT ISWHEN 00 => YF <=6;WHEN 01 => YF <= 6;WH
25、EN 02 => YF <=6;WHEN 03 => YF <=6;WHEN 04 => YF <=6;WHEN 05 => YF <=6;WHEN 06 => YF <=6;WHEN 07 => YF <=6;WHEN 08 => YF <=8;WHEN 09 => YF <=9;WHEN 10 => YF <=10;WHEN 11 => YF <=12;WHEN 12 => YF <=15;WHEN 13 => YF <=15;WHEN 14 =&
26、gt; YF <=15;WHEN 15 => YF <=15;WHEN 16 => YF <=15;WHEN 17 => YF <=15;.专业 .整理 .下载可编辑WHEN 18 => YF <=15;WHEN 19 => YF <=15;WHEN 20 => YF <=15;WHEN 21 => YF <=15;WHEN 22 => YF <=15;WHEN 23 => YF <=15;WHEN 24 => YF <=10;WHEN 25 => YF <
27、=10;WHEN 26 => YF <=12;WHEN 27 => YF <=12;WHEN 28 => YF <=15;WHEN 29 => YF <=16;WHEN 30 => YF <=17;WHEN 31 => YF <= 17;WHEN 32 => YF <=17;WHEN 33 => YF <=17;WHEN 34 => YF <=18;WHEN 35 => YF <=18;WHEN 36 => YF <=18;WHEN 37 => YF <
28、;=18;WHEN 38 => YF <=18;WHEN 39 => YF <=18;WHEN 40 => YF <=18;WHEN 41 => YF <=18;WHEN 42 => YF <=10;WHEN 43 => YF <=12;WHEN 44 => YF <=15;WHEN 45 => YF <=16;WHEN 46 => YF <=15;WHEN 47 => YF <=15;WHEN 48 => YF <=15;WHEN 49 => YF <
29、;=15;WHEN 50 => YF <=14;WHEN 51 => YF <=15;WHEN 52 => YF <=16;WHEN 53 => YF <=18;WHEN 54 => YF <=16;WHEN 55 => YF <=12;WHEN 56 => YF <=13;WHEN 57 => YF <=14;WHEN 58 => YF <=10;WHEN 59 => YF <=11;WHEN 60 => YF <=9;WHEN 61 => YF <
30、= 9;.专业 .整理 .下载可编辑WHEN 62 => YF <=10;WHEN 63 => YF <=10;WHEN 64 => YF <=10;WHEN 65 => YF <=10;WHEN 66 => YF <=6;WHEN 67 => YF <=6;WHEN 68 => YF <=6;WHEN 69 => YF <=6;WHEN 70 => YF <=6;WHEN 71 => YF <=6;WHEN 72 => YF <=6;WHEN 73 =>
31、YF <=8;WHEN 74 => YF <=9;WHEN 75 => YF <=10;WHEN 76 => YF <=12;WHEN 77 => YF <=15;WHEN 78 => YF <=15;WHEN 79 => YF <=15;WHEN 80 => YF <=15;WHEN 81 => YF <=15;WHEN 82 => YF <=15;WHEN 83 => YF <=15;WHEN 84 => YF <=15;WHEN 85 => YF
32、 <=10;WHEN 86 => YF <=12;WHEN 87 => YF <=15;WHEN 88 => YF <=16;WHEN 89 => YF <=15;WHEN 90 => YF <=15;WHEN 91 => YF <= 15;WHEN 92 => YF <=15;WHEN 93 => YF <=18;WHEN 94 => YF <=18;WHEN 95 => YF <=18;WHEN 96 => YF <=18;WHEN 97 => Y
33、F <=10;WHEN 98 => YF <=12;WHEN 99 => YF <=15;WHEN 100 => YF <=16;WHEN 101 => YF <=15;WHEN 102 => YF <=15;WHEN 103 => YF <=15;WHEN 104 => YF <=15;WHEN 105 => YF <=14;.专业 .整理 .下载可编辑WHEN 106 => YF <=14;WHEN 107 => YF <=14;WHEN 108 => YF
34、<=15;WHEN 109 => YF <=16;WHEN 110 => YF <=17;WHEN 111 => YF <=17;WHEN 112 => YF <=17;WHEN 113 => YF <=8;WHEN 114 => YF <=8;WHEN 115 => YF <=7;WHEN 116 => YF <=7;WHEN 117 => YF <=6;WHEN 118 => YF <=6;WHEN OTHERS => NULL;END CASE;END PR
35、OCESS;END NAME;Yinfu2LIBRARY IEEE;ENTITY yinfu2 ISPORT(CK4HZ:IN STD_LOGIC;YF:OUT INTEGER RANGE 0 TO 21);END yinfu2;ARCHITECTURE NAME OF yinfu2 ISSIGNAL COUNT:INTEGER:=0;BEGINPROCESS(ck4HZ)BEGINIF(ck4HZ'EVENT AND ck4HZ='1') THENIF(COUNT <30) THEN COUNT <=COUNT+1;ELSE COUNT<=0;END
36、 IF;END IF;END PROCESS;PROCESS(COUNT)BEGINCASE COUNT ISWHEN 00 => YF <=13;WHEN 01 => YF <= 15;WHEN 02 => YF <=15;WHEN 03 => YF <=15;WHEN 04 => YF <=0;WHEN 05 => YF <=13;WHEN 06 => YF <=15;WHEN 07 => YF <=15;.专业 .整理 .下载可编辑WHEN 08 => YF <=15;WHEN 0
37、9 => YF <=15;WHEN 10 => YF <=15;WHEN 11 => YF <=0;WHEN 12 => YF <=0;WHEN 13 => YF <=0;WHEN 14 => YF <=0;WHEN 15 => YF <=15;WHEN 16 => YF <=13;WHEN 17 => YF <=15;WHEN 18 => YF <=15;WHEN 19 => YF <=15;WHEN 20 => YF <=15;WHEN 21 =&
38、gt; YF <=15;WHEN 22 => YF <=10;WHEN 23 => YF <=15;WHEN 24 => YF <=15;WHEN 25 => YF <=13;WHEN 26 => YF <=13;WHEN 27 => YF <=13;WHEN 28 => YF <=13;WHEN 29 => YF <=13;WHEN 30 => YF <=13;WHEN 31 => YF <= 15;WHEN 32 => YF <=13;WHEN 33 =
39、> YF <=18;WHEN 34 => YF <=18;WHEN 35 => YF <=18;WHEN 36 => YF <=18;WHEN 37 => YF <=18;WHEN 38 => YF <=0;WHEN 39 => YF <=17;WHEN 40 => YF <=16;WHEN 41 => YF <=17;WHEN 42 => YF <=17;WHEN 43 => YF <=17;WHEN 44 => YF <=17;WHEN 45 =&
40、gt; YF <=0;WHEN 46 => YF <=0;WHEN 47 => YF <=0;WHEN 48 => YF <=0;WHEN 49 => YF <=16;WHEN 50 => YF <=15;WHEN 51 => YF <=16;.专业 .整理 .下载可编辑WHEN 52 => YF <=16;WHEN 53 => YF <=16;WHEN 54 => YF <=16;WHEN 55 => YF <=16;WHEN 56 => YF <=16;
41、WHEN 57 => YF <=0;WHEN 58 => YF <=17;WHEN 59 => YF <=17;WHEN 60 => YF <=18;WHEN 61 => YF <= 18;WHEN 62 => YF <=18;WHEN 63 => YF <=18;WHEN 64 => YF <=0;WHEN 65 => YF <=0;WHEN 66 => YF <=17;WHEN 67 => YF <=16;WHEN 68 => YF <=21;WH
42、EN 69 => YF <=0;WHEN 70 => YF <=20;WHEN 71 => YF <=19;WHEN 72 => YF <=20;WHEN 73 => YF <=20;WHEN 74 => YF <=20;WHEN 75 => YF <=20;WHEN 76 => YF <=0;WHEN 77 => YF <=19;WHEN 78 => YF <=18;WHEN 79 => YF <=19;WHEN 80 => YF <=19;WHEN
43、 81 => YF <=19;WHEN 82 => YF <=0;WHEN 83 => YF <=18;WHEN 84 => YF <=17;WHEN 85 => YF <=17;WHEN 86 => YF <=18;WHEN 87 => YF <=16;WHEN 88 => YF <=16;WHEN 89 => YF <=16;WHEN 90 => YF <=16;WHEN 91 => YF <= 16;WHEN 92 => YF <=0;WHEN
44、93 => YF <=14;WHEN 94 => YF <=14;WHEN 95 => YF <=18;.专业 .整理 .下载可编辑WHEN 96 => YF <=18;WHEN 97 => YF <=21;WHEN 98 => YF <=21;WHEN 99 => YF <=12;WHEN 100 => YF <=12;WHEN 101 => YF <=12;WHEN 102 => YF <=12;WHEN 103 => YF <=0;WHEN 104 =>
45、; YF <=0;WHEN 105 => YF <=16;WHEN 106 => YF <=16;WHEN 107 => YF <=19;WHEN 108 => YF <=19;WHEN 109 => YF <=21;WHEN 110 => YF <=21;WHEN 111 => YF <=19;WHEN 112 => YF <=19;WHEN 113 => YF <=15;WHEN 114 => YF <=15;WHEN 115 => YF <=6;WHE
46、N 116 => YF <=6;WHEN 117 => YF <=6;WHEN 118 => YF <=6;WHEN 119 => YF <=0;WHEN 120 => YF <=6;WHEN 121 => YF <=8;WHEN 122 => YF <=8;WHEN 123 => YF <=8;WHEN 124 => YF <=8;WHEN 125 => YF <=8;WHEN 126 => YF <=8;WHEN 127 => YF <=0;WHEN 128 => YF <=6;WHEN 129 => YF <=8;WHEN 130 => YF <=8;WHEN 131 => YF <=8;WHEN 132 => YF <=8;WHEN 133 =>
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 山东省济南市莱芜凤城高级中学2024-2025学年高二下学期第一次检测政治试题(原卷版+解析版)
- 2025年青海货运从业资格证模拟考试试题
- 二零二五个人贷款协议书
- 二零二五运输公司聘用司机合同
- 薪资补充协议书二零二五年
- 子女分配老人财产协议书范例
- 医学基础知识评测机制试题及答案
- 餐厅楼面现场管理制度
- 造纸公司薪酬管理制度
- 送餐公司食堂管理制度
- 无锡星洲工业园低碳园区规划方案
- 北师大版心理健康六年级上册第十四课欣赏我自己 课件
- 小班语言绘本《报纸上的洞洞》
- 幼儿园红色小故事PPT:抗日小英雄王二小的故事
- 宴席设计与菜品开发第二版劳动版宴席菜肴与菜单设计课件
- 2023学年完整公开课版积极情绪
- 轴向拉压杆的强度计算
- 安徽宝镁轻合金有限公司年产30万吨高性能镁基轻合金项目环境影响报告书
- 2160kn溢洪道双向门机安装使用说明书
- 振动筛安装作业指导书
- 正弦交流电的-产生
评论
0/150
提交评论