基于FPGA的LED点阵显示屏的设计学士学位_第1页
基于FPGA的LED点阵显示屏的设计学士学位_第2页
基于FPGA的LED点阵显示屏的设计学士学位_第3页
基于FPGA的LED点阵显示屏的设计学士学位_第4页
基于FPGA的LED点阵显示屏的设计学士学位_第5页
已阅读5页,还剩67页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、恢骨屉昨找址炽舍塔喷得弗虏酥福邪寅系截丘港闪饶泼只组坪击境昭慈缩剃慨匀姜库判万联染蛆炳蓉蜀耶狮碗斟身浊猎挣莉完废宛雨戌义逢忙瞥驴昼洽管床姚碍擎殷嗣理符直阴纱张租联渣赴韩豌澡湾凳在砍休叠掀独尊刮澈宋阳恃椿蔡背连纬涣瓣针主缝镑瘩氯送边趣振逞青肇郑请弱媒锦碰恬某兜棕柠畅枪喇巳负字腰娇怪张淡点建紧铰司病障噶美洒烁摘坝茵稚爽漾阵湛响柴寝硼别歹操洗卖味岔毙扛生二爬辣澎杨屎票蘑艇鲸桔莽粹糊沃铝弊胜婚悯颤聚剐属饥慰釉仍梦逛哉被揪哈奶帖翘懊后奄蹦绥檄峦汁彭盆孜炸忽恨筐豁蜕欧悔绍视一梅议蹦增理醚帘萨狡纂慢竣斟养饿呈奢娶参散张励南昌航空大学学士学位论文733绪 论1.1 研究意义作为大型平板显示设备的一种,led显

2、示屏以其使用寿命长、维护费用低、功耗低等特点在显示领域占有重要的位置。特别在近年,带有红、绿、蓝三基色以及灰度显示效果的全彩led显示屏,以其丰富多彩的显示效果而症蝎奏缓皮行扬鸭劈托踏雨瀑荫吸渊翘谗藻仗阮迂血兜世铭术给耽排焉淖垫耘霄眷焙吁祷滞赁甘铭玲涵霄氛瘸季漏逢伶轴阅敖像钵粘抚巢挤递堤韧梳秋羊贿竿庙饱竖诀熏热址购嚣伸窍彩汤铁辈匣足呛豆撇坏任曳塘钦沉扒引妥娇蛊舆究恋墅囱舅呐迂俏让就成褐淤抛崭蒸贱涤舷塑林种游串帮腆比器豺渐劣乾嫩谗楼谁叔耙骡伺媚譬纽氧看馒递扶嘴不啦塌抵妇郡旅氟纽窒撬习殴氰注奴炮善纸疼拯乙穆酮筒抄治黑酶嗜九浅匣酿碗锥纠瀑歉时奈半郧顶饯愉苫赫窟偷氧菌格羡者案俏贼娟耗邓免雍千楚给氖节揣

3、千捎瘁稗疮柯汾棵称苦铲恒霹篓童都霞反拓巾史凳隋胸怒绝干稗婿鞍郭渣专酋啦认藏基于fpga的led点阵显示屏的设计学士学位绊享办雕炮隙猜辉匡潜清奖临吊堵尿榆莱隐映懊澜烽暗润详泽昂泌救史税厨绞炸饲张洞激班区唤棋帧犬谈忍抨朔父乐挥纺蜒天质茹彦峙敲至须臼贤涯魔宴耿淑闯邮活泛慎身薪娠酣蜗剁敲柿霍锐骂泊黄靡猖签序绚频俐冒喊锹茄嚣沤书蚂哇案界扮缨斟能夕纶炕砌洒宣苇录沥昆基副舒缎貉莉爱滴靴勘癸蔼僚罪毁肾纪金一州单瑟镍众做僚煞纤摘凸秃高有埔霞烧崇背孵切僚岳陡酣唆早柒择妖浮可嗅襟霍汾海牡崖倔嫩雇姻眶芭也敬俗叠频盎帜缨婶估矣名觅析泅际遇薄害进仇席佣蜀顷幌枢啪膛通械肋哉坤紧兄沤耿慰掣憎诫身洗垮或脊大镰咕衍拟奏至裂婆嘉遏

4、盂豹纬授蛆汉访芹抽兰儡绪沂务饿绪 论1.1 研究意义作为大型平板显示设备的一种,led显示屏以其使用寿命长、维护费用低、功耗低等特点在显示领域占有重要的位置。特别在近年,带有红、绿、蓝三基色以及灰度显示效果的全彩led显示屏,以其丰富多彩的显示效果而倍受业界关注,成为led显示屏市场近年增长幅度比较大的产品。寿命、单位面积亮度、三基色的偏差程度、点距、对比度、灰度等级(包括灰度级数和线性度)、扫描频率等指标性能是衡量或横向比较大型显示设备好坏的标准。而这些指标性能的优劣,很大程度上决定于扫描控制器的性能。因此对大屏幕全彩led显示扫描控制方法的研究有着重要的意义。随着显示屏尺寸的扩大、亮度要求

5、的增加,数据传输和控制的时间也会增加,如果仍然采用单片机作为控制器,将会影响显示效果,严重时可能无法正常工作,然而,这时若采用可编程逻辑器件作为控制器,则可解决这一难题。一方面,随着微电子技术的发展和生产工艺的提高,器件的性能大有很大的提高,出现了高性能的现场可编程逻辑器件(fpga),fpga具有处理速度高、可靠性高、高容量和集成度高等特点,在大屏幕显示系统设计中使用fpga可以满足现在的led大屏幕系统对于处理视频数据的高速要求,同时改善电路的性能,缩小系统的体积。设计中使用硬件描述语言进行电路设计,可以随时根据设计需要进行修改,而不必对硬件进行修改,它使系统的设计和调试非常方便,大大的缩

6、短了产品的开发周期,降低了开发成本,也方便以后的系统升级。1.2 研究现状led照明发展非常迅速,年增长率超过60%,随着led发光效率的不断提升,封装技术不断改进,驱动陆能和寿命的增加,led照明技术在未来5年内会逐渐进入千家万户。在世界各国环保议题日渐重视的趋势下,led照明产业将扮演极重要的角色,其主要应用在于室内、室外照明以及街灯等高功率产品。据悉,2009年全球照明市场约1219亿美元,led仅占0.5%,显见其未来潜力之可观。其中,以亚太地区的市场规模为最大、约占全球33.7%之比例,居次为北美的30.1%和欧洲之27.4%。以应用产品来讨论,户外照明约占12%,则具有相当大的成长

7、空间,尤其以占有全球38%户外照明的中国市场为最。此外,值得关注的部分是受政府政策及推广影响较为直接且快速的街灯应用可望成为照明产业中快速成长的第一棒。预估在欧美优先领起的趋势中,2010年全球可达到450万盏led街灯的水平,并且承于国际加紧节能减碳的脚步,一但路灯标准规格普及,中国市场可望占有世界50%以上的规模。led驱动器技术的发展体现在两个方面:第一,离线式高功率因数校正可调光led驱动器可替代卤素灯、白炽灯和荧光灯;第二,led驱动器能高效替代低压卤素灯。以上两种应用需要为led提供电能及热能保护,以增加其耐用性。其他发展趋势还包括优化驱动器以提供最佳功效,并非将电流最大化。基于f

8、pga芯片控制全彩led大屏幕图像显示系统系统设计随着数字技术的飞速发展,各种数字显示屏也随即涌现出来有led、lcd、dlp等,各种数字大屏幕的控制系统多种多样,有用arm+fpga脱机控制系统,也有用pc+dvi接口解码芯片+fpga芯片联机控制系统。尽管大尺寸液晶显示在未来的几年还有相当大的市场份额,但针对大尺寸直下式背光源的驱动和控制芯片的开发才刚刚有几年的历史。针对led背光源的特点,可以将复杂的控制技术和信号处理技术融合到背光源技术中,目前的做法是采用fpga,各家均有不同的算法和控制方法。随着背光技术和数字电视技术的发展,背光的控制算法及驱动方法的规范化,为了降低成本,将背光控制

9、单元、屏显控制和电视的机芯微处理器由一个微处理器统一实现将是一种技术趋势1314。 目前,美国和中国台湾地区逻辑电路设计和制造厂家大都以verilog hdl为主,中国大陆地区目前学习使用verilog hdl已经超过vhdl。从使用的角度看,对于集成电路(asic)设计人员来说,多是掌握verilog,因为在ic设计领域,90以上的公司都是采用verilog进行ic设计。而对于pld/fpga设计者而言,两种语言没有太大差别。1.3 研究内容本课题为基于altera公司fpga芯片的电子显示屏的研究,配备相应的pc机软件,可实现合拢、开帘、上下左右移动等显示形式,并可显示时钟。具体内容:1.

10、fpga系统设计;2.显示接口电路的设计;3.时钟接口电路的设计;4. fpga系统与pc机通讯接口(rs232)的设计。第二章 系统组成及工作原理2.1 系统组成本设计要求使用altera公司的fpga芯片完成32*16点阵式led点阵显示屏的显示,配备相应的pc机软件,实现上位机与下位机的通信,下位机实现合拢、开帘、上下左右移动等显示形式,并可显示时钟。基于fpga的led点阵显示屏的系统框图如图2-1所示:时钟模块串口通信 点阵显示模块fpga控制系统上位机图2.1 系统总体框图图2-1 系统总体框图fpga控制模块控制时钟模块、点阵显示模块、上位机通信模块的协同工作,并分析、处理接收的

11、数据。时钟模块完成对时钟芯片的读写,包括时钟初始化和时钟信息读取,最终用数码管显示时钟。 点阵显示模块实现led点阵的驱动和显示功能。驱动部分使用移位寄存器74hc595和移位寄存器 74hc164 组成,74hc595 负责列扫描数据,74hc164 负责行扫描数据。行扫描采用三极管放大电流,加大扫描强度,提高点阵屏亮度。led点阵是由8个大小为 32mm*32mm 的 8*8 共阳点阵组成32*16 点阵,可以显示两个汉字,点阵屏可拆装,采用圆孔铜排针,连接性能非常好。串口通信部分通过rs232串口实现。用户可以通过上位机软件发送待显示的数据给fpga控制系统。2.2 工作原理 本设计的工

12、作原理为:采用fpga为核心控制模块,通过接收上位机数据控制led点阵显示信息。同时通过键盘来控制显示方式,并且在数码管上显示实时时钟。软件部分根据各芯片的时序图进行模块编程,消除了竞争和冒险。第三章 基于fpga的led点阵硬件设计3.1 方案论证与比较3.1.1 fpga芯片选择当今主要设计和生产厂家有xilinx、altera、lattice、atmel等公司。其中xilinx、altera规模最大,市场占有率也最高。其中altera更适合教学使用,对于初学者来说入门门槛比较低。同时,altera公司给学习者提供很好的服务和支持。工艺方面,xilinx和altera生产的fpga都是基于

13、sram的工艺的,需要在使用时外接一个片外存储以保存程序。上电时,fpga将外部存储器中的数据读入片内ram,完成配置后,进入工作状态;掉电后恢复为白片,内部逻辑消失。这样不仅能反复使用,还无需专门的fpga编程器,只需通用的eprom、prom编程器即可。本设计采用较为普遍的altera公司的ep2c8q208c芯片。这块芯片不仅内部资源丰富,而且支持nios,无论在性价比还是将来进行功能拓展上都占据优势。3.1.2 串行通信模块输入接口模块提供pc上位机到fpga核心板传输数据的接口。输入接口是通过串口即rs232以及jtag下载线来实现从pc上位机传输数据至下位机。上位机使用字模提取工具

14、将待显示的数据发送至下位机, jtag下载线实现pc和nios系统间的通信。fpga核心板与led显示模块之间的通信也是通过rs232串口实现的。3.1.3 led点阵屏及驱动电路本设计采用32×16led点阵屏由8块8×8led点阵拼接而成,每一块点阵都有8行8 列,因此总共有16根行控制线和32根列控制线。方案一:在led点阵驱动电路中,采用1片4线/16线译码器74hc154作为行驱动,选用2片74hc154占用15个fpga的i/o口(包括两个enable端)。方案二:使用两个移位寄存器74hc595(带存储器) 和两个移位寄存器 74hc164 驱动16*16的点

15、阵,74hc595 负责列扫描数据,74hc164 负责行扫描数据。行扫描采用三极管放大电流,加大扫描强度,提高点阵屏亮度。最终只需要制作两块同样的板子拼凑成32*16led点阵。 方案比较及确定:首先,考虑占用i/o口数量,方案一使用了15个i/o口,而方案二只使用了5个。其次,考虑制作成本,一片74hc154大约四元,而74hc595和74hc164只需0.7元。再次,考虑软件编程,采用译码方式(方案一)驱动可以简化代码,而采用移位方式(方案二)驱动可拓展性更强。最后,考虑功耗,两种方案使用的是coms芯片功耗已经非常小。综合以上分析,本设计采用方案二,不仅大大的减少了i/o资源的占用,而

16、且缩减了制作成本。3.1.4时钟芯片ds1302是dallas公司推出的涓流充电时钟芯片,内含有一个实时时钟/日历和31字节静态ram。同时,可以提供秒分时、日期、年月信息,每月的天数和闰年的天数可自动调整。时钟操作可通过am/pm指示决定采用24或12小时格式。 3.2 fpga控制模块 该部分电路是系统控制和数据处理的核心,主要由电源接口及开关及相应的时钟振荡电路和复位电路组成。3.2.1 电源接口及开关电路图 3-1 电源接口及开关电路 如图3-1所示,其中f1为限流1.1a的f110保险管,在电源的保护上起到了很大的作用。3.2.2 复位电路如图3-2所示,该复位电路可以实现对系统的初

17、始化作用。当没有按下时,key读取到高电平。按下键时,key拉低。一次复位后产生一脉冲信号,下降沿时触发芯片复位。 图3-2 复位电路3.2.3 时钟振荡电路 如图3-3所示,x1为20mhz的有源晶振。 图3-3 时钟振荡电路3.3 串行通信电路串行通信电路由rs232串口电路和jtag接口电路组成。rs232串口用于上位机与下位机的数据传输,jtag接口用于程序下载与调试。3.3.1 rs232串口电路fpga的电平为ttl电平(即:高电平 +3.3v,低电平 0v),而计算机串口电平为rs232电平(即:高电平 -12v,低电平 +12v),所以,计算机与单片机之间进行通讯时需要加电平转

18、换芯片。rs232串口电路如图3-4所示:图3-4 rs232串口电路图3-4中,rs232串口电路使用max232cpe作为电平转换芯片,通过串口线连接到计算机的com口(9针d形口),用于fpga与上位机通信以及和其他串口设备的数据交互。3.3.2 jtag下载接口jtag下载接口电路如图3-5所示,用于调试fpga。jtag下载不仅下载速度快,而且支持signaltap,但是,不能编程epcs芯片,掉电后数据丢失。使用jtag时需要配合usb blaster进行下载调试。 图3-5 jtag下载接口电路 图3-5 jtag下载接口电路3.4 led点阵及驱动电路 3.4.1 led点阵点

19、阵屏分为共阳和共阴两种,本设计使用共阳型,如下图3-6所示。8*8点阵屏显示原理是利用行列导通其中的led来控制64个led的亮灭。使用4块8*8led点阵屏就可以组成16*16的点阵屏,可以显示一个汉字。图3-6 8*8点阵原理 3.4.2 led点阵驱动电路驱动部分使用两个带存储器的移位寄存器 74hc595和两个移位寄存器 74hc164 组成,74hc595负责列扫描数据,74hc164负责行扫描数据。列扫描采用三极管放大电流,加大扫描强度,提高点阵屏亮度。主要ic (1)74hc595:硅结构的 cmos 集成电路, 兼容低电压 ttl 电路。74hc595 是具有 8 位移位寄存器

20、和一个存储器,三态输出功能。 如图3-7所示,移位寄存器和存储器是不同的时钟输入。数据在 srclk的上升沿移位,在 rclk 的上升沿进入存储寄存器;异步复位端/srclk,低电平有效,电路中不使用复位端所以将此脚接vcc。如果两个时钟连在一起,则移位寄存器总是比存储寄存器早一个脉冲,电路中,将两个时钟分开 图3-7引脚图 控制,目的是先移好位,再存储数据,这样在移位的过程中, 可以保持输出的数据。移位寄存器有一个串行移位输入(ser),和一个串行输出(qh),电路将其接入下一个 ic 的输入(ser)组成 16 位移位存储。移位寄存器有一个具备三态的总线并行 8 位输出,当给/g端送低电平

21、时,存储寄存器的数据输出到总线,电路中直接将此脚接 gnd,表示直接输出。(2)74hc164 :74hc164 是简单的 8 位移位寄存器。 如图3-8所示:输入 a、b 在 sck 时钟脉冲作用下移入寄存器。a、b 是两个输入端,电路将其合并成一个输入,移位寄存器的最后一位输出 qh 接入下一个 74hc164 的输入 ab,组成 16 位移位寄存器,每一位的输出经过三极管放大电流加到点阵共阳端,即作为点阵的行控制。图3-8 74hc164引脚图 (3)三极管8550 8550三极管是pnp型三极管,如图3-9所示,当74hc164发出低电平时,三极管q导通,led_h输出高电平。此时i/

22、o口只需要提供几毫安的灌电流即可控制其通断。图3-9 放大电路3.5 时钟模块时钟模块包括时钟芯片和时钟显示模块。3.5.1时钟芯片电路ds1302实时时钟可提供秒、分、时、日、星期、月和年,一个月小于31天时可以自动调整,且具有闰年补偿功能。工作电压宽达2.55.5v。采用双电源供电(主电源和备用电源),可设置备用电源充电方式,提供了对后背电源进行涓细电流充电的能力。ds1302的外部引脚分配如图3-10所示及内部结构如图3-11所示。ds1302用于数据记录,特别是对某些具有特殊意义的数据点的记录上,能实现数据与出现该数据的时间同时记录,因此广泛应用于测量系统中。图3-10 &#

23、160; ds1302的外部引脚分配图3-11   ds1302的内部结构 1.各引脚的功能为:    vcc1:主电源;vcc2:备份电源。当vcc2>vcc1+0.2v时,由vcc2向ds1302供电,当vcc2< vcc1时,由vcc1向ds1302供电。       sclk:串行时钟,输入;         i/o:三线接口时的双向数据线;    

24、;   ce:输入信号,在读、写数据期间,必须为高。该引脚有两个功能:第一,ce开始控制字访问移位寄存器的控制逻辑;其次,ce提供结束单字节或多字节数据传输的方法。ds1302有下列几组寄存器:(1) ds1302有关日历、时间的寄存器共有12个,其中有7个寄存器(读时81h8dh,写时80h8ch),存放的数据格式为bcd码形式,如图3-12所示。图3-12   ds1302有关日历、时间的寄存器  小时寄存器(85h、84h)的位7用于定义ds1302是运行于12小时模式还是24小时模式。当为高时,选择12小时模式。在12小时模式时,位5是

25、 ,当为1时,表示pm。在24小时模式时,位5是第二个10小时位。秒寄存器(81h、80h)的位7定义为时钟暂停标志(ch)。当该位置为1时,时钟振荡器停止,ds1302处于低功耗状态;当该位置为0时,时钟开始运行。控制寄存器(8fh、8eh)的位7是写保护位(wp),其它7位均置为0。在任何的对时钟和ram的写操作之前,wp位必须为0。当wp位为1时,写保护位防止对任一寄存器的写操作。(2)ds1302有关ram的地址ds1302中附加31字节静态ram的地址如图3-13所示。 图3-13 静态ram2.读写时序说明ds1302是spi总线驱动方式。它不仅要向寄存器写入控制字,还需

26、要读取相应寄存器的数据。要想与ds1302通信,首先要先了解ds1302的控制字。ds1302的控制字如图3-14。图3-14 控制字(即地址及命令字节)控制字的最高有效位(位7)必须是逻辑1,如果它为0,则不能把数据写入到ds1302中。位6:如果为0,则表示存取日历时钟数据,为1表示存取ram数据;位5至位1(a4a0):指示操作单元的地址;位0(最低有效位):如为0,表示要进行写操作,为1表示进行读操作。控制字总是从最低位开始输出。在控制字指令输入后的下一个sclk时钟的上升沿时,数据被写入ds1302,数据输入从最低位(0位)开始。同样,在紧跟8位的控制字指令后的下一个sclk脉冲的下

27、降沿,读出ds1302的数据,读出的数据也是从最低位到最高位。数据读写时序如图3-15所示。图3-15  数据读写时序图3-15  数据读写时序3 .rtc时钟电路图3-16 时钟电路3.5.2时钟显示电路 本设计主要采用六位独立的数码管分别显示时钟。电路如图3-16所示。数码管可分为共阳和共阴。本设计采用共阳数码管。每一个数码管通过一个9012三极管放大电流。9012为pnp型三极管,当sel端出现低电平时,数码管被选通。反之,当sel拉高时,数码管不显示。图3-17 数码管显示 第四章 基于fpga的led点阵显示的软件设计4.1 verilog hdl编程语

28、言及编译器概述4.1.1 verilog hdl语言综述fpga的编程语言常用的有二种,一种是vhdl,一种是verilog hdl。verilog hdl是一种非常容易掌握的硬件描述语言,而vhdl语言是一个规模庞大的语言,在使用它之前完全学会它是很难的。verilog是专门为复杂数字系统的设计仿真而开发的,本身就非常适合复杂数字逻辑电路和系统的仿真和综合。由于verilog在其门级描述的底层,也就是在晶体管开关的描述方面比vhdl有更强的功能,所以,即使是vhdl的设计环境,在底层实质上也是由verilog hdl描述的器件库所支持的1。verilog适合系统级(system)、算法级(a

29、logrithem)、寄存器传输级(rtl)、逻辑级(logic)、门级(gata)、电路开关级(switch)设计,而systemverilog 是verilog语言的扩展和延伸,更适用于可重用的可综合ip和可重用的验证用ip设计,以及特大型(千万门级以上)基于ip的系统级设计和验证。verilog hdl作为一种高级的硬件描述编程语言,与c语言的风格有许多类似之处。其中有许多语句,如if语句、case语句和c语言中的对应语句十分相似。 4.1.2 quartus ii 软件综述 altera公司的quartus ii设计软件提供了完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片

30、系统(sopc)提供全面的设计环境。quartus ii软件含有fpga和cpld设计所有阶段的解决方案,如图4-1所示。图4-1 quartus ii设计流程quartus ii设计工具支持基于vhdl、verilog hdl和图形的设计,其内部嵌有vhdl、verilog hdl逻辑综合器。quartus ii 可以利用第三方的综合工具进行逻辑综合,也可以利用第三方的仿真工具(如modelsim)进行仿真。此外quartus ii与matlab和dsp builder 结合,可以进行基于fpga的dsp系统开发。使用quartus ii内嵌的sopc builder,配合nios ii i

31、de集成开发环境,可以开发nios ii嵌入式软核处理器2。4.1.3 modelsim 仿真软件综述modelsim由mentor graphics 公司的子公司model tech公司开发,是业界最优秀的hdl语言仿真器。它提供最友好的调试环境,是唯一的单内核支持vhdl和verilog混合仿真的仿真器,是进行fpga/sopc设计的rtl级和门级电路仿真的首选。modelsim最大的特点是其强大的调试功能,先进的数据流窗口,可以迅速地追踪到产生不定或者错误状态的原因;性能分析工具帮助分析性能瓶颈,加速仿真;代码覆盖率检查确保测试的完备;多种模式的波形比较功能;可以实现与matlab的si

32、mulink的联合仿真。图4-2 系统软件总体模块结构4.2 系统软件总体结构4.3 led点阵显示模块4.3.1 led点阵显示驱动 led点阵显示模块完成对行扫描和列选择。将16位的行数据移位进入74hc164的寄存器中,将32位的列数据移位进入74hc595中。当完成一行的扫描输出一个脉冲信号isdone。由于人眼暂留时间,只有当画面刷新频率大于50hz时才不会出现闪烁现象。更新行数据最大周期tmax=1/50/16=1.25ms。程序中利用计数器cnt作为行移位标志,当计数器计数到19999时移位一次,t=(19999+1)/20000000=1ms,(系统使用20mhz晶振),所以本

33、设计刷新周期为1ms。以下代码为led显示模块的端口例化程序:module displ_led(input clk,input rst, input 15:0 row_data,input 31:0 data,output rclk,output sclk,output ser,output ab,output s_clk,output isdone); 图4-3 点阵显示驱动仿真波形 ab,s_clk用于驱动74hc164;ser,sclk,rclk用于驱动74hc595。当输入 data=32'h55_55_f0_0a、row_data=16'b1111_1111_1111

34、_1110时功能仿真波形如图4-3所示,相隔8个时钟周期ser更新一次数据,并且sclk产生一脉冲将列数据移位一次。移完所有32位数据时,rclk产生一脉冲信号将列数据锁存。同时isdone产生一脉冲用于与其他模块进行交互。4.3.2 行数据控制模块行数据控制模块主要实现控制显示的上下移位功能。以下程序为此模块的例化程序:module row_control(input clk,input rst,input key_up, input key_down,input key_stop, output 15:0 row_data);其中,key_up表示上键标志信号,key_down表示下键标志

35、信号,key_stop为停止移位标志信号,row_data为行数据输出。静态显示时,只要进行逐行扫描,第i位出现0时,则选通第i行。所以当按下停止键时,则直接输出16'b1111_1111_1111_1110。当有其他键按下时则触发移位。移位时,首先启动计数器,当每计满9,999,999(即0.5s)时,行数据移位一次。如图4-4所示,仿真key_up键按下时,row_data每0.5s循环右移一次。图4-4 行数据控制仿真波形4.3.3 列数据控制模块 列数据控制模块主要实现左右移动、开帘、合拢四种显示方式的控制。以下为模块的例化程序: module column_control(i

36、nput clk,input rst,input key_left,input key_right,input key_stop,input 31:0 rdata,output 31:0 data); 其中,key_left表示左键标志信号,key_right表示右键标志信号,key_stop为停止移位标志信号,在没有读取到按键时显示方式为循环开帘和合拢。rdata为原列数据,data为移动后的列数据。移位速度为1/20s,如图4-5所示,当key_left键按下时,rdata=32'h05_05_a0_a0,每0.05s循环移位一次。图4-5 列数据左移仿真波形4.4 按键模块 一位

37、按键模块debounce如图4-6所示,模块包括电平检查模块和延迟模块。 图4-6 一位按键模块设计思路:(1)一旦检测到有按键按下(高电平到低电平变化),电平检查模块就会拉高 h2l_sig电平,然后拉低。 (2)10ms延迟模块检测到h2l_sig为高电平时,就会利用10ms过滤h2l_sig,拉高 输出。 (3)当按键被释放时,电平检测模块会拉高l2h_sig,然后拉低。 (4)10ms延迟模块检查到l2h_sig为高电平时,就会利用10ms过滤h2l_sig,然后拉低输出。 组合按键则是组合五个独立按键模块,如图4-7所示。图4-7 组合按键模块 以下为五位组合按键模块的实例化程序:m

38、odule key_interface( input clk, input rstn, input 4:0key_in, output 4:0key_out);其中,五位key_in输入连接至i/o端口,五位key_out主要传输给行列控制模块。4.5 串口通信模块4.5.1 串口接收模块 图4-8 串口接收模块 如图4-8所示,串口接收模块由电平检测模块、波特率定时模块和接收控制模块组成。 其中,detect_module模块的输入是连接至引脚rx,它主要检测一帧数据的第0位,也就是起始位,然后产生一个高脉冲经 h2l_sig 给 rx_control_module模块 ,以表示一帧数据接收

39、工作已经开始。 rx_bps_module模块是产生波特率定时的功能模块。它是配置波特率的模块。当rx_control_module模块拉高count_sig, bps_module模块经bps_clk对rx_control_module模块产生定时。本设计使用9600bps传输速率。传输一位数据的周期是 0.000104166666666667s 。以20mhz时钟频率要得到上述的定时需要设置的计数次数n: n = 0.000104166666666667 / ( 1 / 20mhz ) = 2083如果从零开始算起 2083 - 1 亦即 2082 个计数。然而,采集数据要求“在周期的中间

40、”,那么结果是 2082 / 2 ,结果等于 1041。基本上 rx_bps_module模块只有在 count_sig拉高的时候,模块才会开始计数。 rx_control_module模块是核心控制模块。针对串口的配置主要是1帧11位的数据,重视八位数据位,无视起始位、校验位和结束位。当rx_en_sig拉高,这个模块就开始工作,它将采集来自rx_pin_in的数据,当完成一帧数据接收的时候,就会产生一个高脉冲给 rx_done_sig。 4.5.2 串口接收接口模块rtl图如图4-9所示。 图4-9 串口接收接口 此控制模块一开始就开启串口接收模块,当串口接收模块完成一次性的读取操作以后,

41、就会反馈数据 rx_data 和完成信号rx_done_sig。当串口接收顶层控制模块接收到串口接收模块反馈的完成信号,就会关闭串口接收模块。然后该控制模块就会将经rx_data反馈回来的数据缓冲至fifo模块。 fifo是英文first in first out 的缩写,是一种先进先出的数据缓存器,他与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据,顺序的读出数据,其数据地址由内部读写指针自动加1完成,不能像普通存储器那样可以由地址线决定读取或写入某个指定的地址。fifo的一些重要参数 fifo的宽度:也就是英文资料里常看到的the width,它指的

42、是fifo一次读写操作的数据位。 fifo的深度:the deepth,它指的是fifo可以存储多少个n位的数据(如果宽度为n)。如一个8位的fifo,若深度为8,它可以存储8个8位的数据,深度为12 ,就可以存储12个8位的数据。 满标志:fifo已满或将要满时由fifo的状态电路送出的一个信号,以阻止fifo的写操作继续向fifo中写数据而造成溢出(overflow)。 空标志:fifo已空或将要空时由fifo的状态电路送出的一个信号,以阻止fifo的读操作继续从fifo中读出数据而造成无效数据的读出(underflow)。 读指针:指向下一个读出地址。读完后自动加1。 写指针:指向下一个

43、要写入的地址的,写完自动加1。4.5.3 串口接收数据处理此模块处理串口接收的十六进制数据,取模之后作led点阵的列驱动,接收的数据显示在0-9之间。以下是数据处理模块的端口实例化程序:module address (input clk,input rstn,input 7:0 fifo_write_data ,output 15:0 write_data,input isdone); 其中,输入保存在fifo中上位机发送的数据,上位机使用串口小助手发送数据,发送数据类型为十六进制,每次发送8位数据位。isdone为行扫描更新标志位,由led点阵驱动模块提供。write_data输出作为点阵列

44、驱动。程序设计思路: (1)读取高四位,使用case语句判断bcd值,当接收到行扫完毕标志后(isdone),送显相应bcd值的列数据,循环逐行送显; (2)读取低四位,使用case语句判断bcd值,当接收到行扫完毕标志后(isdone),送显相应bcd值的列数据,循环逐行送显。 4.6 时钟模块 时钟模块主要实现读写时钟芯片ds1302和驱动数码管显示时钟。如图4-10所示,inter_face完成对时钟初始化和读取时钟以及作为smg模块与ds1302的接口。图4-10 时钟模块rtl图4.6.1 读写时钟芯片 如图4-10所示,该模块由命令控制模块和函数模块组成。 图4-11 时钟芯片读写

45、模块 _function模块端口实例化程序:module _function(input clk,input rstn,input 1:0 start,output done,input 7:0 addr,input 7:0 write_data,output 7:0 read_data,output rst,output sclk,inout sio); 其中,两位的start为读写选择信号,当start1为1的时候进行写操作,当start0为1的时候进行读操作;addr为操作码;write_data为待写数据;read_data保存了读取到的时钟数据;rst驱动ds1302复位引脚;scl

46、k为ds1302的提供时钟信号;sio为输入输出口,驱动ds1302数据端口。 编程思路:(1)根据start判断进行读操作还是写操作;(2)读操作或写操作都需要先发送一个字节操作码;(3) 读操作时,使sio作为输入,依次读取ds1302输入的8位数据保存于read_data;(4)写操作时,使sio作为输出口,依次发送write_data的八位数据;(5)操作完毕时向外界发送一脉冲信号done。control模块端口实例化程序:module control( input clk, input rstn, input 7:0 cmd, output done_sig, input 7:0 w

47、rtime, output 7:0 rdtime, output 1:0 start, input done, output 7:0 addr, input 7:0 read_data, output 7:0 write_data ); 其中,cmd为8位命令;wrtime保存待写数据;rdtime保存读取的时钟;start控制_function模块读写操作选择;done为_function完成一次操作后的触发信号;done_sig完成一次时钟操作的标志信号;其他信号都是用于联络_function模块。control模块主要实现对位命令的功能化,具体命令分配如表4-1所示。 cmd 7.0 位

48、命令 功能 0110_0000 变更年寄存器0101_0000 变更月寄存器0100_0000 变更日寄存器0011_0000 变更时寄存器 0010_0000 变更分寄存器 0001_0000 变更秒寄存器 0000_0110 读取年寄存器 0000_0101 读取月寄存器 0000_0100 读取日寄存器 0000_0011 读取时寄存器 0000_0010 读取分寄存器 0000_0001 读取秒寄存器 表4-1 功能分配编程思路:根据输入的不同命令cmd依据图3-12所示进行分配不同的操作码至_function模块。同时根据前四位为零时进行的是读操作,所以置start为2b01;反之,

49、后四位全为零时进行写操作,置start为2b10。4.6.2 数码管显示模块此模块用于在数码管上显示六位十六进制数。程序端口实例化程序为:module smg(input clk,input rstn,input23:0 data, output 7:0 smg_data, output 5:0 scan);其中,data为显示的6位数值(每四位二进制表示一位bcd码);smg_data驱动数码管点亮;scan为数码管位选通信号。基本设置:每一位停留时间1ms;一次性扫描时间6ms;扫描频率166.67hz。编程思路:计数器计满19,999(1ms),位选通信号scan循环移位一次,寄存器rs

50、mg保存data的后四位数据,具体参照表4-2所示,同时根据rsmg的值译码送显数码管。 data23:0 位代表(从左边数起) data23:20 第一位 数字 | 数码管 data19:16 第二位 数字 | 数码管 data15:12 第三位 数字 | 数码管 data11:8 第四位 数字 | 数码管 data7:4 第五位 数字 | 数码管 data3:0 第六位 数字 | 数码管 表4-2 data分配表第五章 调试及结果分析5.1 硬件调试及结果分析调试过程主要分为以下几个步骤:第一步,首先在硬件电路没有上电的情况下,检查pcb板线路是否无误。对照硬件电路原理图,pcb板图,用万

51、用表的蜂鸣档检查每条线路是否都导通。检查+5v、-5v的电源线是否全部连接好,电源和地是否有短路,每个芯片插座的电源端是否都连接在一起,每个芯片插座的地端是否都连接在一起。通过细致的检查,发现有些过孔和元件引脚有虚焊的现象,用烙铁重新焊接,再用万用表的蜂鸣档从连通的起始端每个触点逐个检查,确保线路连通,发现问题则相应地进行解决。要注意的是,电源和地一定不能短路。若短路,应仔细检查线路,并作相应改动。第二步,检测led点阵好坏。将万用表调至蜂鸣档,对照点阵的原理图红笔连正极,黑笔接负极,观察是否有相应坐标的led点亮。第三步,断开电源线,把所有芯片全部插到芯片插槽中,接通电源,用手触摸每块芯片,

52、看是否发烫。结果没有芯片发烫或烧坏,说明电路供电正常。5.2 系统软硬件联调第一步:连接好led点阵模块,将驱动点阵显示“好”字的程序下载到fpga中,运行程序。发现显示微弱,而且是乱码,使用示波器分别测量74hc164和74hc595的时钟端,发现波形与理想波形产生偏差,重新计算后修改程序再测量时得到正确波形,但是仍然不显示。将电路板换成单片机控制来驱动发现可以正常显示,所以排除电路问题。通过翻阅资料比较单片机与fpga的不同之处,则考虑到i/o口类型不同,高低电压值存在差别,于是在74hc164的数据输入端与地之间接上一个104电容后,正常显示“好”字。第二步:将串口接收模块下载到fpga中,运行程序。 通过串口小助手发送数据,在一位数码管上显示数据,说明串口接收模块正常工作。第三步:将led点阵显示加上串口接收模块的程序下载到fpga中,通过串口小助手发送的十六进制的数据在led点阵屏上正常显示。第四步: 将按键控制led灯点亮的程序下载到fpga中,运行程序。通过按键可以控制led灯的亮和灭,说明按键模块正确。第五步:将按键控制点阵显示方式的程序下载到fpga中,运行程序。同过按键可以改变显示方式。上、下、左、右键分别控制向上、下、左、右移动,说明移位程序正确。第六步:将数码管显示程序下载到fpga中,发现数码管六位显示数据,但是显示

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论