




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、现代计算机组成原理现代计算机组成原理 潘潘 明明 潘潘 松松 编著编著 第第 4 4 章章CPUCPU功能模块设计功能模块设计 4.1 84.1 8位位CPUCPU功能与结构功能与结构 1 1CPUCPU的功能的功能 (1)指令控制)指令控制 (2)操作控制)操作控制 (3)时序控制)时序控制 (4)数据加工)数据加工 4.1 84.1 8位位CPUCPU功能与结构功能与结构 2 2CPUCPU的组成结构的组成结构 图图4-1 CPU组成部件逻辑结构图组成部件逻辑结构图 4.2 CPU4.2 CPU中的基本部件中的基本部件 4.2.14.2.1算术逻辑单元(算术逻辑单元(ALUALU) 算术逻
2、辑单元(算术逻辑单元(ALUALU)是计算机的核心部件之一,它能执)是计算机的核心部件之一,它能执行加法和减法等算术运算,也能执行行加法和减法等算术运算,也能执行“与与”、“或或”、“非非”等逻辑运算。等逻辑运算。 算术逻辑单元的基本功能可以根据算术逻辑单元的基本功能可以根据74LS18174LS181的功能用的功能用VHDLVHDL编辑而成。编辑而成。 选择端选择端高电平作用数据高电平作用数据 S3 S2 S1 S0M=HM=L 算术操作算术操作逻辑功能逻辑功能Cn=L(无进位)(无进位)Cn=H(有进位)(有进位)0 0 0 00 0 0 1 加 10 0 1 0 + 10 0 1 1 减
3、1(2的补码)0 1 0 0 加 10 1 0 1 加 加 + 10 1 1 00 1 1 11 0 0 0 加 11 0 0 1 加11 0 1 0 加11 0 1 11 1 0 0 *1 1 0 1 加11 1 1 0 加11 1 1 1AF AF 1加AF BAFBAF)(BAF BAF BAFBAF0F F0FABFBAAF加BAAF加BF )(BAFBA)(BAFBABAFBAF减1减减BAF BAF BAF1)(减BAFBAFABAF加ABAF加BAFBAF加BAF加BF ABBAF)加(ABBAF加)(ABF ABF 1减ABF 1F*AAF加加 1加加AAF BAFABAF)加
4、(ABAF)加(BAFABAF)加(ABAF)加(AFAF 1减AF 表表4-1 ALU181的运算功能的运算功能 选择端选择端高电平作用数据高电平作用数据 S3 S2 S1 S0M=HM=L 算术操作算术操作逻辑功能逻辑功能Cn=L(无进位)(无进位)Cn=H(有进位)(有进位)0 0 0 00 0 0 1 加 10 0 1 0 + 10 0 1 1 减1(2的补码)0 1 0 0 加 10 1 0 1 加 加 + 10 1 1 00 1 1 11 0 0 0 加 11 0 0 1 加11 0 1 0 加11 0 1 11 1 0 0 *1 1 0 1 加11 1 1 0 加11 1 1 1
5、AF AF 1加AF BAFBAF)(BAF BAF BAFBAF0F0FABFBAAF加BAAF加BF )(BAFBA)(BAFBABAFBAF减1减减BAF BAF BAF1)(减BAFBAFABAF加ABAF加BAFBAF加BAF加BF ABBAF)加(ABBAF加)(ABF ABF 1减ABF 1F*AAF加加 1加加AAF BAFABAF)加(ABAF)加(BAFABAF)加(ABAF)加(AFAF 1减AF 表表4-1 ALU181的运算功能的运算功能 F4.2 CPU4.2 CPU中的基本部件中的基本部件 4.2.14.2.1算术逻辑单元(算术逻辑单元(ALUALU) 【例【例4
6、-1】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ALU181 ISPORT ( S : IN STD_LOGIC_VECTOR(3 DOWNTO 0 ); A,B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); F : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); COUT : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); M,CN : IN STD_LOGIC; CO,FZ : OUT STD_LOGIC );
7、 END ALU181;ARCHITECTURE behav OF ALU181 ISSIGNAL A9,B9,F9 : STD_LOGIC_VECTOR(8 DOWNTO 0);(接下页)(接下页) 4.1 84.1 8位位CPUCPU功能与结构功能与结构 4.2.14.2.1算术逻辑单元(算术逻辑单元(ALUALU) BEGINA9 = 0 & A ; B9 IF M=0 THEN F9=A9 + CN ; ELSE F9IF M=0 THEN F9=(A9 OR B9)+CN; ELSE F9IF M=0 THEN F9=(A9 OR (NOT B9)+CN; ELSE F9IF M=0
8、 THEN F9= 000000000-CN ; ELSE F9IF M=0 THEN F9=A9+(A9 AND NOT B9)+CN ; ELSE F9IF M=0 THEN F9=(A9 OR B9)+(A9 AND NOT B9)+CN ; ELSE F9IF M=0 THEN F9=A9 -B9 - CN ; ELSE F9IF M=0 THEN F9=(A9 AND (NOT B9)-CN ; ELSE F9IF M=0 THEN F9=A9 + (A9 AND B9)+CN; ELSE F9IF M=0 THEN F9=A9 + B9 + CN; ELSE F9IF M=0 TH
9、EN F9=(A9 OR (NOT B9)+(A9 AND B9)+CN ; ELSE F9IF M=0 THEN F9=(A9 AND B9)- CN ; ELSE F9IF M=0 THEN F9=A9 + A9 + CN; ELSE F9IF M=0 THEN F9=(A9 OR B9)+A9 + CN ; ELSE F9IF M=0 THEN F9=(A9 OR(NOT B9)+A9+CN; ELSE F9IF M=0 THEN F9=A9-CN; ELSE F9F9= 000000000 ;END CASE;IF (A9= B9) THEN FZ = 0;END IF;END PRO
10、CESS;F= F9(7 DOWNTO 0) ; CO = F9(8) ;COUT = 0000 WHEN F9(8) = 0 ELSE 0001 ;END behav; 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.14.2.1算术逻辑单元(算术逻辑单元(ALUALU)图图4-2 ALU逻辑结构图逻辑结构图 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.2 4.2.2 数据缓冲寄存器数据缓冲寄存器 【例【例4-2】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LATCH8 IS PORT ( GATE : IN S
11、TD_LOGIC; DIN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );END LATCH8;ARCHITECTURE behav OF LATCH8 ISBEGIN PROCESS(GATE, DIN) BEGIN IF GATE = 1 THEN DOUT REG(0)= C0; REG(7 DOWNTO 1) = REG(6 DOWNTO 0); CY REG(0)= REG(7);REG(7 DOWNTO 1)REG(7)= REG(0); REG(6 DOWNTO 0)REG
12、(7)= C0 ; REG(6 DOWNTO 0) = REG(7 DOWNTO 1); CY REG(7 DOWNTO 0) REG(7 DOWNTO 0) REG = REG ; CY = CY ; -保持保持END CASE;END IF;END PROCESS;ABC = S & M; QB(7 DOWNTO 0) = REG(7 DOWNTO 0); CN = CY;END BEHAV;4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-3 正弦信号发生器结构框图正弦信号发生器结构框图 1 1工作原理工作原
13、理 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 2 2定制初始化数据文件定制初始化数据文件 【例【例4-4】WIDTH = 8;DEPTH = 64;ADDRESS_RADIX = HEX;DATA_RADIX = HEX;CONTENT BEGIN0 : FF;1 : FE;2 : FC;3 : F9;4 : F5;(数据略去)(数据略去)3E : FE;3F : FF;END; 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-4 将
14、波形数据填入将波形数据填入mif文件表中文件表中 2 2定制初始化数据文件定制初始化数据文件 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-5 ASM格式格式建建hex文件文件 2 2定制初始化数据文件定制初始化数据文件 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-6 sdata.hex4-6 sdata.hex文件的放置路径文件的放置路径 2 2定制初始化数据文件定制初始化数据文件 4.2 CPU4.2 CPU中的基本部件中
15、的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-7 4-7 定制新的宏功能块定制新的宏功能块 3 3定制定制LPM_ROMLPM_ROM元件元件 4.1 84.1 8位位CPUCPU功能与结构功能与结构 4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-8 LPM4-8 LPM宏功能块设定宏功能块设定 3 3定制定制LPM_ROMLPM_ROM元件元件 4.1 84.1 8位位CPUCPU功能与结构功能与结构 4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-9 4-9 选择选择data_romda
16、ta_rom模块数据线和地址线宽度模块数据线和地址线宽度 3 3定制定制LPM_ROMLPM_ROM元件元件 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-10 4-10 选择地址锁存信号选择地址锁存信号inclockinclock 3 3定制定制LPM_ROMLPM_ROM元件元件 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 图图4-11 4-11 调入调入ROMROM初始化数据文件并选择在系统读写功能初始化数据文件并选择在系统读写
17、功能 3 3定制定制LPM_ROMLPM_ROM元件元件 4.1 84.1 8位位CPUCPU功能与结构功能与结构 4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 3 3定制定制LPM_ROMLPM_ROM元件元件 【例【例4-5】LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.altera_mf_components.all; -使用宏功能库中的所有元件使用宏功能库中的所有元件ENTITY data_rom ISPORT (address: IN STD_LOGIC_VEC
18、TOR (5 DOWNTO 0); inclock: IN STD_LOGIC ;q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );END data_rom;ARCHITECTURE SYN OF data_rom ISSIGNAL sub_wire0: STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT altsyncram -例化例化altsyncram元件,调用了元件,调用了LPM模块模块altsyncramGENERIC ( -参数传递语句参数传递语句intended_device_family: STRING; -类属参量数据类
19、型定义类属参量数据类型定义width_a: NATURAL; widthad_a: NATURAL;numwords_a: NATURAL; operation_mode: STRING;outdata_reg_a: STRING;address_aclr_a: STRING;outdata_aclr_a: STRING; width_byteena_a: NATURAL; (接下页)(接下页)4.1 84.1 8位位CPUCPU功能与结构功能与结构 4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 3 3定制定制LPM_ROMLPM_ROM元件元件 init_file:
20、STRING; lpm_hint: STRING;lpm_type: STRING);PORT ( clock0: IN STD_LOGIC ; -altsyncram元件接口声明元件接口声明address_a: IN STD_LOGIC_VECTOR (5 DOWNTO 0);q_a: OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );END COMPONENT;BEGINq Cyclone, -参数传递映射参数传递映射width_a = 8, -数据线宽度数据线宽度8widthad_a = 6, -地址线宽度地址线宽度6numwords_a = 64, -数据数量数据
21、数量64operation_mode = ROM, -LPM模式模式ROMoutdata_reg_a = UNREGISTERED, -输出无锁存输出无锁存address_aclr_a = NONE, -无异步地址清无异步地址清0outdata_aclr_a = NONE, -无输出锁存异步清无输出锁存异步清0width_byteena_a = 1, - byteena_a输入口宽度输入口宽度1init_file = ./dataHEX/SDATA.hex, -ROM初始化数据文件,此处已修改过初始化数据文件,此处已修改过lpm_hint = ENABLE_RUNTIME_MOD=YES, I
22、NSTANCE_NAME=NONE, lpm_type = altsyncram ) -LPM类型类型PORT MAP (clock0 = inclock, address_a = address,q_a = sub_wire0 );END SYN; SYN; 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 4 4完成顶层设计完成顶层设计 【例【例4-6】 正弦信号发生器顶层设计正弦信号发生器顶层设计LIBRARY IEEE; -正弦信号发生器源文件正弦信号发生器源文件USE IEEE.STD_LOGIC_1164.AL
23、L;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SINGT IS PORT ( CLK : IN STD_LOGIC; -信号源时钟信号源时钟 DOUT : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) );-8位波形数据输出位波形数据输出END;ARCHITECTURE DACC OF SINGT ISCOMPONENT data_rom -调用波形数据存储器调用波形数据存储器LPM_ROM文件:文件:data_rom.vhd声明声明 PORT(address : IN STD_LOGIC_VECTOR (5 DOWNTO 0);-6位
24、地址信号位地址信号 inclock : IN STD_LOGIC ;-地址锁存时钟地址锁存时钟 q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);END COMPONENT; (接下页)(接下页)4.1 84.1 8位位CPUCPU功能与结构功能与结构 4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 4 4完成顶层设计完成顶层设计 SIGNAL Q1 : STD_LOGIC_VECTOR (5 DOWNTO 0); -设定内部节点作为地址计数器设定内部节点作为地址计数器 BEGINPROCESS(CLK ) -LPM_ROM地址发生器进程地址发生
25、器进程 BEGINIF CLKEVENT AND CLK = 1 THEN Q1Q1, q = DOUT,inclock=CLK);-例化例化END; 图图4-13 仿真波形输出仿真波形输出 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 4 4完成顶层设计完成顶层设计 图图4-14 嵌入式逻辑分析仪获得的波形嵌入式逻辑分析仪获得的波形 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 5 5微程序的微程序的LPM_ROM LPM_ROM 图图4-1
26、5 LPM_ROM的结构图的结构图 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 5 5微程序的微程序的LPM_ROMLPM_ROM图图4-16 rom_a.mif中的数据中的数据 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 6 6LPM_RAMLPM_RAM的调用和结构的调用和结构 图图4-17 编辑定制编辑定制RAM 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器
27、6 6LPM_RAMLPM_RAM的调用和结构的调用和结构 图图4-18 LPM_RAM的仿真波形的仿真波形 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.4 4.2.4 程序存储器与数据存储器程序存储器与数据存储器 6 6LPM_RAMLPM_RAM的调用和结构的调用和结构 图图4-19 8位位LPM_RAM原理图模块原理图模块 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.5 4.2.5 先进先出存储器先进先出存储器FIFO FIFO 图图4-20 FIFO编辑窗编辑窗 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.5 4.2.5 先进先出存储器先
28、进先出存储器FIFO FIFO 图图4-21 FIFO的仿真波形的仿真波形 4.2 CPU4.2 CPU中的基本部件中的基本部件4.2.6 4.2.6 流水线乘法累加器流水线乘法累加器 (1 1)用)用VHDLVHDL设计设计1616位加法器位加法器 【例【例4-7】LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ADDER16B IS PORT ( CIN : IN STD_LOGIC; A,B : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S : OUT
29、 STD_LOGIC_VECTOR(15 DOWNTO 0); COUT : OUT STD_LOGIC );END ADDER16B;ARCHITECTURE behav OF ADDER16B IS SIGNAL SINT : STD_LOGIC_VECTOR(16 DOWNTO 0);SIGNAL AA,BB : STD_LOGIC_VECTOR(16 DOWNTO 0);BEGINAA=0&A; BB=0& B; SINT = AA + BB + CIN; S = SINT(15 DOWNTO 0); COUT D32B(31 DOWNTO 22), q=POUT, inclock=C
30、LK ); u7 : PLL20 PORT MAP( inclk0= CLKK,c0=CLK); -例化例化END;习习 题题 4-1. 简述微程序控制器和组合逻辑控制器的异同点。简述微程序控制器和组合逻辑控制器的异同点。4-2简要说明图简要说明图6-1中,中,CPU各组成部件的作用。控制器由哪些部件组成,各组成部件的作用。控制器由哪些部件组成,运算器由哪些部件组成?运算器由哪些部件组成?4-3在微硬序控制器中,微程序计数器在微硬序控制器中,微程序计数器uPC可以用可以用uAR来代替,试问是来代替,试问是否可以用具有计数功能的存储器地址寄存器否可以用具有计数功能的存储器地址寄存器AR来代替程序
31、计数器来代替程序计数器PC?为为什么什么?4-4试说明机器指令和微指令之间的关系。试说明机器指令和微指令之间的关系。4-5机器指令包含哪两个基木要素?微指令义也含哪两个基本要素?程机器指令包含哪两个基木要素?微指令义也含哪两个基本要素?程序靠什么实现顺序执行?靠什么实现转移?微程序中顺序执行和转移依序靠什么实现顺序执行?靠什么实现转移?微程序中顺序执行和转移依靠什么方法?靠什么方法? 习习 题题 4-6完成下列数据传输功能,说明数据传输的具体操作步骤:完成下列数据传输功能,说明数据传输的具体操作步骤: 编号编号 功功 能能助记符助记符12345678910111213从从INPUT端口输入数据
32、写入端口输入数据写入R1从从INPUT端口输入数据写入端口输入数据写入R2从从INPUT端口输入数据写入端口输入数据写入RAM某单元某单元将将RAM某单元内容读入某单元内容读入R1将将RAM某单元内容读入某单元内容读入R2将将R1内容写入内容写入RAM某单元某单元将将R2内容写入内容写入RAM某单元某单元将将R1内容传到内容传到R2将将R2内容传到内容传到R1将将R1内容输出到内容输出到LED端口显示端口显示将将R2内容输出到内容输出到LED端口显示端口显示将将RAM某单元内容输出到某单元内容输出到LED端口显示端口显示从从INPUT端口输入数据送端口输入数据送LED端口显示端口显示IN R1
33、,PORTIN R2,PORTIN RAM,PORTLD R1,RAMLD R2,RAMST RAM,R1ST RAM,R2MOV R2,R1MOV R1,R2OUT LED,R1OUT LED,R2OUT LED,RAMOUR LED,PORT习习 题题 4-7. 如果不使用如果不使用MegaWizardMegaWizard Plug-In Manager Plug-In Manager工具,如何在自己的设计工具,如何在自己的设计中调用中调用LPMLPM模块?以计数器模块?以计数器lpm_counterlpm_counter为例,写出调用该模块的程序,为例,写出调用该模块的程序,其中参数自定
34、。其中参数自定。4-8. LPM_ROMLPM_ROM、LPM_RAMLPM_RAM、LPM_FIFOLPM_FIFO等模块与等模块与FPGAFPGA中嵌入的中嵌入的EABEAB,ESBESB,M4KM4K有怎样的联系关系?有怎样的联系关系? 4-9. 参考参考QuartusIIQuartusII的的HelpHelp(ContentsContents),详细说明),详细说明LPMLPM元件元件altcamaltcam、altsyncramaltsyncram、lpm_fifolpm_fifo、lpm_shiftreglpm_shiftreg的使用方法,以及其中各参量的的使用方法,以及其中各参量
35、的含义和设置方法。含义和设置方法。 实验与设计实验与设计 实验实验4-1. 算术逻辑运算单元算术逻辑运算单元ALUALU设计实验设计实验参考参考实验示例和实验课件:实验示例和实验课件:/CMPUT_EXPMT/CH4_Expt/ DEMO_41_alu/ 和和 实验实验4_1.ppt 。实验与设计实验与设计 图图4-50 算术逻辑单元算术逻辑单元ALU实验原理图实验原理图 实验与设计实验与设计 实验实验4-1. 算术逻辑运算单元算术逻辑运算单元ALAL设计实验设计实验F7.0SW_B寄存器内容寄存器内容S3 S2 S1 S0MBUSA7.0B7.0 010101011010101010 010
36、101011010101010 表表4-3 A7.0,B7.0设置值检查设置值检查 实验与设计实验与设计 表表4-4 S3 S2 S1 S0A7.0B7.0算术运算算术运算 M=0逻辑运算(逻辑运算(M=1)Cn=0(无进位)(无进位)cn=1(有进位)(有进位)0000AA55F=( ) F=( ) F=( ) 0001AA55F=( ) F=( ) F=( ) 0010AA55F=( ) F=( ) F=( ) 0011AA55F=( ) F=( ) F=( ) 0100FF01F=( ) F=( ) F=( ) . . . . . .省略省略0101FF01F=( ) F=( ) F=(
37、 ) 11015501F=( ) F=( ) F=( ) 11105501F=( ) F=( ) F=( ) 11115501F=( ) F=( ) F=( ) 实验与设计实验与设计 实验实验4-1. 算术逻辑运算单元算术逻辑运算单元ALAL设计实验设计实验表表4-58种常用的算术与逻辑运算种常用的算术与逻辑运算 操作操作MDR2运算关系及结果显示运算关系及结果显示Cn4 66FF 传送传送 取反取反 加加1 求负求负 加法加法 减法减法 逻辑乘逻辑乘按位加按位加S3S2S1S0CnDR1DR1 DR2 DR2( )DR1 DR2 DR2( )DR1 DR2 ( )DR1 DR2 DR2( )
38、DR1 DR2 ( )DR2 +1 DR2 ( )DR2 +1 DR2 ( )DR1 + DR2 DR2( )实验与设计实验与设计 实验实验4-2.4-2. 带进位算术逻辑运算单元带进位算术逻辑运算单元ALUALU设计实验设计实验 参考实验示例和实验课件:参考实验示例和实验课件:/CMPUT_EXPMT/CH4_Expt/ DEMO_42_aluc/ 和和 实验实验4_2.ppt 。 实验与设计实验与设计 图图4-51 带进位控制的带进位控制的ALU 实验与设计实验与设计 实验实验4-2.4-2. 带进位算术逻辑运算单元带进位算术逻辑运算单元ALUALU设计实验设计实验 算术运算算术运算 M=
39、0逻辑运算逻辑运算(M=1)01010101FFFF0101F=F=( ) F=F=( ) 01100110FFFF0101F=F=( ) F=F=( ) 01110111FFFF0101F=F=( ) F=F=( ) 10001000FFFFFFFF F=F=( ) F=F=( ) 10011001FFFFFFFFF=F=( ) F=F=( ) 10101010FFFFFFFFF=F=( ) F=F=( ) S3 S2 S1 S0A7.0B7.0cn=0(无进位)(无进位)cn=1(有进位)(有进位)F=F=( )F=F=( )F=F=( )F=F=( )F=F=( )F=F=( )表表4-
40、6 实验与设计实验与设计 实验实验4-2.4-2. 带进位算术逻辑运算单元带进位算术逻辑运算单元ALUALU设计实验设计实验 图图4-52 带进位控制的带进位控制的ALU的仿真波形的仿真波形 实验与设计实验与设计 实验实验4-34-3. . 移位运算器设计实验移位运算器设计实验 图图4-53 移位运算实验原理图移位运算实验原理图 实验与设计实验与设计 实验实验4-34-3. . 移位运算器设计实验移位运算器设计实验 表表4-7移位发生器的功能移位发生器的功能 GS1S0M功功 能能000任意任意保持保持0100循环右移循环右移0101带进位循环右移带进位循环右移0010循环左移循环左移0011
41、带进位循环左移带进位循环左移任意任意11任意任意加载待移位数加载待移位数实验与设计实验与设计 实验实验4-34-3. . 移位运算器设计实验移位运算器设计实验图图4-54 shift移位运算器仿真波形移位运算器仿真波形 实验与设计实验与设计 实验实验4-4.4-4. LPM_ROM LPM_ROM实验实验 参考实验示例和实验课件:参考实验示例和实验课件:/CMPUT_EXPMT/CH4_Expt/ DEMO_44_ROM/ /CMPUT_EXPMT/CH4_Expt/ DEMO_44_ROM/ 和和 实验实验4_4.ppt 4_4.ppt 。 图图4-55 LPM_ROM的结构的结构 实验与设
42、计实验与设计 实验实验4-4.4-4. LPM_ROM LPM_ROM实验实验 图图4-56 ROM初始化文件初始化文件ROM_A.mif的内容的内容 实验与设计实验与设计 实验实验4-4.4-4. LPM_ROM LPM_ROM实验实验 图图4-57 LPM_ROM的仿真波形的仿真波形 实验与设计实验与设计 实验实验4-5.4-5. LPM_RAM LPM_RAM实验实验图图4-58 lpm_ram_dq加入初始化文件和选择在系统读写加入初始化文件和选择在系统读写RAM功能功能 实验与设计实验与设计 实验实验4-5.4-5. LPM_RAM LPM_RAM实验实验图图4-59 使用在系统读写使用在系统读写RAM的工具对的工具对lpm_ram中的数据进行读写操作中的数据
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 中央美术学院《视频后期编辑》2023-2024学年第一学期期末试卷
- 洛阳理工学院《土木工程执业资格概论》2023-2024学年第二学期期末试卷
- 河南省重点高中2025年高三4月第二次统练(二模)英语试题含解析
- 河南省开封市田家炳实验中学2025年初三第三次调研物理试题试卷含解析
- 甘肃农业职业技术学院《听力障碍儿童心理与教育》2023-2024学年第二学期期末试卷
- 四川农业大学《乐理(一)》2023-2024学年第一学期期末试卷
- 四川大学《西班牙语口语Ⅱ》2023-2024学年第一学期期末试卷
- 广西现代职业技术学院《苗族文化与医药史》2023-2024学年第二学期期末试卷
- 柳州职业技术学院《岩土工程施工》2023-2024学年第二学期期末试卷
- 辽宁冶金职业技术学院《中国古代思想史》2023-2024学年第一学期期末试卷
- 2025温州二模253温州二模英语试卷
- 2025年离婚申请协议书模板电子版
- 人教版(2024)一年级数学下册第五单元100以内的笔算加、减法素养卷(含答案)
- 2025-2030中国便利店行业发展前景及发展策略与投资风险研究报告
- 2025上海劳动合同样本专业版(合同版本)
- 2025年山东省枣庄市台儿庄区中考一调语文试题(原卷版+解析版)
- 2025年江苏省苏锡常镇高考数学调研试卷(一)(含答案)
- (二模)乌鲁木齐地区2025年高三年级第二次质量检测语文试卷(含官方答案)
- 2018工程定额单价表
- 城区建筑垃圾处理资源再利用设备采购 投标方案(技术方案)
- 第11课《山地回忆》教学课件2024-2025学年统编版语文七年级下册
评论
0/150
提交评论