




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 设计名称 2FSK调制与解调设计 学 院 电气与光电工程学院 班 级 13信Y 学 号 13120226姓 名 薛新旺 指导教师 张刚兵 时 间 2017.1.3 目录一、摘要2二、2FSK信号的调制原理2三、2FSK信号的解调原理4四、 Quartus介绍5五、 Quartus实操介绍5六、程序仿真结果7七、 总结11附录111)2FSK信号的调制112)2FSK信号的解调12一、摘要 数字调频又称移频键控,它是用不同的载波来传送数字信号的。 FSK信号的产生有两种方法:直接调频法和频率键控法。2FSK信号的产生可利用一个矩形脉冲序列对一个载波进行调频而获得。这正是频率键控通信方式早期采用
2、的实现方法,也是利用模拟调频法实现数字调频的方法。 2FSK信号的另一产生方法便是采用键控法,即利用受矩形脉冲序列控制的开关电路对两个不同的独立频率源进行选择。2FSK是利用载频频率变化来传输数字信息。数字载频信号又可分为相位离散和相位连续两种情形。若两个振荡频率分别由不同的独立振荡器提供,它们之间的相位互不相关,这就叫相位离散的数字调频信号;若两个振荡频率由同一振荡信号源提供,是对其中一个载频进行分频,这样产生的两个载波就是相位连续的数字调频信号。 在实际通信系统中,大部分信道不能直接传输基带信号,必须用基带信号对载波波形的参量进行控制,使载波的这些参量随基带信号的变化而变化,即以正弦波作为
3、载波的数字调制系统。 与模拟调制一样,数字调制也有调幅、调频和调相三种基本形式。调频信号即2FSK信号是数字通信系统使用较早的一种通信方式,由于这种通信方式容易实现,抗噪声和抗衰减性能较强,因此在低速数据传输通信系统中得到了较为广泛的应用。键控法产生的FSK信号频率稳定度高,并且没有过渡频率,它的转换速度快、波形好。所以本课设电路利用移频键控法,由函数信号发生器产生两个不同的载波,即为相位不一定连续的数字调频信号,由基带信号对不同频率的载波信号进行选择。 二、2FSK信号的调制原理 FSK信号的产生有两种方法:直接调频法和频移键控法。 (1)直接调频法。直接调频法是用数字基带信号直接控制载频振
4、荡器的振荡频率。 直接调频法实现电路有许多。一般采用的控制方法是:当基带信号为正时(相当于1码),改变振荡器谐振回路的参数(电容或电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于0码),改变振荡器谐振回路的参数,使振荡器的频率降低(设为f2)。从而实现了调频,这种方法产生的调频信号是相位连续的。虽然实现方法简单。但频率稳定度不高,同时频率转换速度不能太快。 (2)频率键控法。频率键控法也称频率选择法图1是其实现原理图,它有两个独立的分频器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。图1 频率键控法调制原理键控法产生的FSK信号频率稳定度可以做得
5、很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间两个高频振荡的输出电压通常不可能相等。于是2FSK信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。由于相位的不连续会造频谱扩展,这种FSK 的调制方式在传统的通信设备中采用较多。随着数字处理技术的不断发展,越来越多地采用连继相位FSK调制技术。图2是利用两个独立分频器,以频率键控法来实现fsk调制的原理电路图。图中,与非门3和4起到了转换开关的作用。当数字基带信号为1时,与非门4打开,输出。当数字基带信号为0时,与非门3打开,输出,从而现实了fsk调制。图2 独立分频器键控法调
6、制原理 键控法也常常利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,从而实现fsk调制。图3是一个11/13可控分频器原理图。图中当数字基带信号为1时,第四级双稳态电路输出的反馈脉冲被加到第一级和第二级双稳态电路上,此时分频比为13;当基带信号为0时,第四级双稳态电路输出的反馈脉冲被加到第一级和第三级双稳态电路上,分频比变为11。由于分频比改变,使输出信号频率改变,从而实现fsk调制。采用可变分频器产生的fsk信号相位通常是连续的,因此在基带信息变化时,fsk信号会出现过渡频率,为减小过渡时间,可变分频器应工作于较高的频率,而在可变分频器后再插入固定分频器,使输出满足fsk要求。图
7、3 可控分频器键控法调制原理三、2FSK信号的解调原理 二进制数字频率键控信号常用的解调方法有很多种,如同步(相干)解调法,过零检测法和差分检波法。(1)同步(相干)解调法:在同步解调器中分成上、下两个支路,输入的FsK信号经过f1和f2两个带通滤波器后变成了上、下两路ASK信号之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。假设上支路低通滤波器输出为x1,下路低通滤波器输出为x2,则判决准则是: (1)当输入的FSK信号振荡频率为fl时上支路经带通后由正弦信号Acos2flt存在。与ASK系统接收到“l”码时的情况相似,经过低通滤波器,xl=A。而下支路带通滤波器输出为0与AS
8、K系统接收到“0”码时相似,故x2=0,显然xlx2=A一00按判决准则判输入为fl;反之,当输人为f2时xl=0,x2=A,Xl-X2=0-A0,按判决准则应判输入为f2。因此可以判决出FSK信号。(2) 包络解调法:FSK信号包络解凋相当于两路ASK信号包络解调。用两个窄带的分路滤波器分别滤出频率为fl及f2的高频脉冲,经包络检波后分别取出它们的包络。把两路输出同时送到抽样判决器进行比较从而判决输出基带数字信号。有时称这种比较判别器的判决门限为零点平。设频率f1代表数字信号1,f2代表0,则抽样判决器的判决准则为: (2)式中x1和x2分别为抽样时刻两个包络检测器的输出值。这里的抽样判决器
9、,要比较x1,x2大小,或者说把差值x1-x2与零电平比较。因此,有时称这种比较判决器的判决门限为零电平。当FSK信号为fl时上支路相当于ASK系统接收“1”码的情况,其输出xl为正弦波加窄带高斯噪声的包络,它服从莱斯分布。而下支路相当于ASK系统接收“0”码的情况,其输出x2为窄带高斯噪声的包络,它服从瑞利分布。如果FSK信号为f2。上、下支路的情况正好相反,此时上支路输出的瞬时值服从瑞利分布下支路输出的瞬时值服从莱斯分布。由以上分析可知无论输出的FSK信号是f1或f2,两路输出总是一路为莱斯分布,另一路为瑞利分布。(3) 过零检测法: 过零检测法是利用信号波形在单位时间内与零电平轴交叉的次
10、数来测定信号频率。输入2fsk信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向尖脉冲,然后整流的单向尖脉冲,每个尖脉冲表示信号的一个过零点。尖脉冲的重复频率就是信号频率的二倍。将尖脉冲去触发一单稳态电路产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲频率成正比,即与输入信号频率成正比。所以经过低通滤波器输出的平均分量的变化反映了输入信号频率的变化,这样就把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号。4、 Quartus介绍 Quartus II design 是最高级和复杂的,用于system-on-a-programmable-chip (SOPC)的设计环境。Quartu
11、s II design 提供完善的 timing closure 和 LogicLock 基于块的设计流程。Quartus II design是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。 Quartus II可以在Windows、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设
12、计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 Maxplus II 作
13、为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Maxplus II 的更新支持,Quartus II 与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus II 中包含了许多诸如SignalTap II、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Maxplus II 友好的图形界面及简便的使用方法。Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口。5、 Quartus实操介绍 在Qua
14、rtus II 中,用户的每个独立设计都对应一个工程项目,每个工程项目可包含一个或多个设计文件。其中一个是顶层文件,编译器是对项目中的顶层文件进行编译的,项目同时还管理编译过程中产生的各种中间文件,这些中间文件的文件名相同,但后缀名不同。为了便于管理,对于每个新的项目应该建立一个单独的子目录。指定项目名称的步骤如下: 图4 创建工程 图5 创建文件 打开Quartus II,在File 菜单中选择New Project Wizard 项,将出现工程项目建立向导对话框。点击“Next”,进入到如图5所示的工程项目命名对话框,在最上面的文本输入框中输入为该项目所建的目录名,在中间的文本输入框中输入
15、项目名称,本次课设为“FskMod”,在最下面的文本输入框中输入最顶层模块的名称。 图6 设计文件选择 图7 器件选择 点击“Next”,进入到如图6所示的设计文件选择对话框,由于在本例中还没有任何设计文件,所以不选择任何文件。点击“Next”,进入到如图7所示的器件选择对话框,在“Family”下拉菜单中选择需要的器件Cyclone III。package选择PQFP,然后选择EP3C40Q240C8器件。 图8 EDA工具选项 图9 信息栏 点击“Next”进入到如图8所示的第三方EDA 工具选择对话框,在这个界面可以选择第三方的综合工具、仿真工具和时延分析工具。由于在本例中综合、仿真和时
16、延分析都采用Quartus II 内置的工具,所以在这个页面不作任何选择。点击“Finish”完成工程项目建立过程,回到主界面窗口,主窗口分为几个部分,除了菜单和工具条以外,左上有项目导航(Project Navigator)栏,此时在该栏能看到顶层模块的名称;左中是处理进度栏,用于显示项目处理的进度;下方是信息栏,用于显示项目处理过程中产生的各项信息。点击“file”中的“New”选项,如图9所示。六、程序仿真结果1)2FSK信号的调制 图10 程序运行图输入2fsk调制程序代码,编程语言采用VHdl语言。完成后点击图中的运行按键,观察图中左侧的“task”任务框可以发现程序代码的运行生成的
17、报告及程序错误报告。 图11 程序运行报告 图12 调制输入波形 用quartus软件波形仿真时是要给定输入信号的,CLK为输入时钟信号,为此次的激励信号;start信号为控制调制工作的使能开关;x是需要调制的信号。 图13 调制输出波形 输入波形完后点击波形仿真按键,结果如图所示。y是调制的输出结果,f1为clk分频得到的载波,f2为clk分频得到的载波,q1是控制f1的频率,q2是控制f2的频率。2)2FSK信号的解调 图14 程序运行图 输入2fsk解调程序代码点击图中的运行按键,观察图中左侧的“task”任务框可以发现程序代码的运行生成的报告及程序错误报告。 图15 程序运行报告可以观
18、察到新建工程时的选择。 图16 解调输入波形根据已调信号给定输入波形,此例中给定调制信号为x,clk为时钟信号,start为控制解调器工作的开关,高电平表示进行2fsk解调。 图17 解调输出波形 输入波形完后点击波形仿真按键,结果如图所以,当q=11时,m清零。在q=10时,根据m的大小,对输出基带信号y的电平进行判决。当q为其他值时,计数器m计下xx的脉冲数。输出解调信号y滞后输入信号x为10个clk。此时就完成了2Fsk的调制。7、 总结 课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.随着科学技术发展
19、的日新日异,单片机已经成为当今计算机应用中空前活跃的领域,在生活中可以说得是无处不在。因此作为二十一世纪的大学来说掌握单片机的开发技术是十分重要的。回顾起此次课程设计,至今我仍感慨颇多,从理论到实践,在整整两星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟
20、第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以所学过的知识理解得不够深刻,掌握得不够牢固。这次课程设计历时两个星期多左右,通过这两个星期的学习,发现了自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。这次的课程设计也让我看到了团队的力量,我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。刚开始的时候,大家就分配好了各自的任务,大家有的绘制原理图,进行仿真实验,有的积极查询相关资料,并且经常聚在一起讨论各个方案的可行性。在课程设计中只有一个人知道原理是远远不够的,必须让
21、每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们成功的一项非常重要的保证。而这次设计也正好锻炼我们这一点,这也是非常宝贵的。附录1)2FSK信号的调制library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity FSK_MOD ISport(clk:in std_logic;start:in std_logic;x:in std_logic;y:out std_logic);end FSK_MOD;architect
22、ure bhv of FSK_MOD issignal q1:integer range 0to11;signal q2:integer range 0to3;signal f1,f2:std_logic;beginprocess(clk)beginif clkevent and clk=1then if start=0then q1=0;elsif q1=5 then f1=1;q1=q1+1;elsif q1=11then f1=0;q1=0;else f1=0;q1=q1+1;end if;end if;end process;process(clk)beginif clkevent and clk=1then if start=0then q2=0;elsif q2=0 then f2=1;q2=q2+1;elsif q2=1then f2=0;q2=0;els
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 1-2数制-三要素电子课件教学版
- 稀土金属提炼过程中的环境保护与产业转型升级路径探索研究考核试卷
- 目视化管理与危机管理考核试卷
- 海洋能资源勘查技术考核试卷
- 以错过为话题的高考语文800字作文赏析
- 厦门高三市质检语文作文
- 橡胶制品行业市场营销推广案例考核试卷
- 炼油厂能源管理与节能措施考核试卷
- 电声器件在智能家居系统中的应用考核试卷
- 糕点制作工艺与模具应用考核试卷
- GB 7718-2025食品安全国家标准预包装食品标签通则
- 2025年高考历史总复习世界近代史专题复习提纲
- 对患者入院评估的系统化方法试题及答案
- 教育与社会发展的关系试题及答案
- 内蒙古汇能集团笔试题库
- 七年级英语下学期期中押题预测卷(深圳专用)(原卷版)
- 2024年贵州贵州路桥集团有限公司招聘真题
- DB11-T 2397-2025 取水供水用水排水数据库表结构
- 多式联运模式在跨境电商中的应用-全面剖析
- 产后保健知识课件
- 2025年应急管理普法知识竞赛题(附答案)
评论
0/150
提交评论