用VHDL实现多路彩灯控制器的设计EDA课程设计报告书_第1页
用VHDL实现多路彩灯控制器的设计EDA课程设计报告书_第2页
用VHDL实现多路彩灯控制器的设计EDA课程设计报告书_第3页
用VHDL实现多路彩灯控制器的设计EDA课程设计报告书_第4页
用VHDL实现多路彩灯控制器的设计EDA课程设计报告书_第5页
已阅读5页,还剩3页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、eda课程设计报告用vhdl实现多路彩灯控制器的设计 班级:01121002成员: 成绩: 重庆邮电大学移通学院电子工程系2013年5月一、设计要求设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号clk,rst,sel,八个输出信号控制八个彩灯。时钟信号clk脉冲由系统的晶振产生。各种不同花样彩灯的变换由sel控制.此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:(1) 彩灯从左到右逐次闪亮。 (2)彩灯从左向右逐次点亮,且亮后不熄灭。(3) 彩灯两边同时亮两个,然后逐次向中间点亮。(4)彩灯全亮,向中间熄灭,再向两边点亮。(5)

2、彩灯中间两熄灭,依次向外扩两灯熄灭,呈菱形灭灯。(6)彩灯呈菱形点亮。(7)彩灯中间两个灭灯,中间两个灭灯,依次外扩。 (8) 全部彩灯亮与熄灭交替。二、设计思路彩灯的一种点亮方式经过足够长的延时后,转变为了其他的点亮方式。这就需要一个clk信号完成,clk信号可以由晶体振荡器或者是振荡电路产生。至于各种不同花样彩灯的变换,我们可以通过增加输入信号sel来控制。sel为三位二进制数,分别为000,001,010,100,101,110,111,八种状态对应八种花样。同时系统还需一个复位信号实现对电路的清零控制。输出八位彩灯,我们这里用led发光二极管代替。当电路输出为“1”时,led点亮。当电

3、路输出为“0”时,led熄灭三、成员分工四、源程序library ieee;use ieee.std_logic_1164.all;entity deng is port(clk,rst:in std_logic; sel:in std_logic_vector(2 downto 0); abc:out std_logic_vector(7 downto 0) ); end deng;architecture color of deng istype state_1 is (s0,s1,s2,s3,s4,s5,s6,s7);signal state_2:state_1;begin pr_1:p

4、rocess (clk,rst) begin if rst=1 then state_2 state_2 state_2 state_2 state_2 state_2 state_2 state_2 state_2 abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc

5、 abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc abc=00000000; end case;end if;end process pr_2;end color;五、仿真结果(1)当sel为000时的图形,彩灯从左到右逐次闪亮。(2)当sel为001时的图形,彩灯从左向右逐次点亮,且亮后不熄灭(3)当sel为010时的图形,彩灯两边同时亮两个,然后逐次向中间点亮(4)当sel为011时的图形,彩灯全亮,向中间熄灭,再向两边点亮(5)当sel为100时的图形,彩灯中间两熄灭,依次向外扩两灯熄灭,呈菱形灭灯(6)当sel为1

6、01时的图形,彩灯呈菱形点亮(7)当sel为110时的图形,彩灯中间两个灭灯,中间两个灭灯,依次外扩(8)当sel为111时的图形,全部彩灯亮与熄灭交替引脚锁定完成(9)引脚锁定完成(10)六、收获体会1,从仿真波形可以看出,此程序可以实现八种不同花样彩灯的相互变换,每种花样彩灯可以循环变化。然后就可以实现程序下载和硬件测试。主频时钟clk接clock0(第83脚),但是如果系统的固有频率很大,彩灯的闪烁速度非常快,看到的现象是每个花样的八个彩灯同时被点亮,为了实现绚丽多彩的景象,选择不同的频率(在1hz-46hz之间选择)能使彩灯点亮速度适宜。复位rst和sel的高位与地位分别接四个键控。输出八位彩灯分别对应接八个led发光二极管。实验表明,此设计方法能够满足八种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样,各个不同花样的相互转变是手动切换实现的。 实验结果与理论结果相一致,能够实现八位彩灯循环控制,达到实验预期目的。 2,通过本次试验,加深我们对vhdl

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论