版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、江西制造职业技术学院信息工程系08级毕 业 论 文姓 名: 班 级: 08通信电子 设计题目:基于fpga的ps/2键盘鼠标控制电子琴 指导教师: 二一一年五月目录第一章 fpga简介1.1 fpga背景1.2 fpga芯片结构1.3 fpga的基本特点第二章 fpga工作原理2.1 查找表(look-up-table)的原理与结构2.2 fpga工作原理第三章 ps2键盘通信3.1 ps2 背景3.2 ps2键盘通信3.3 vga原理第四章 ps2键盘控制模型电子琴顶层电路的设计 4.1 ps2键盘控制电子琴程序结论、参考文献第一章 fpga简介1.1 fpga背景fpga主要生产厂商1、a
2、ltera 2、xilinx 3、actel 4、lattice 其中altera作为世界老牌可编程逻辑器件的厂家,是当前世界范围内市场占有率最大的厂家,它和xilinx主要生产一般用途fpga,其主要产品采用ram工艺。actel主要提供非易失性fpga,产品主要基于反熔丝工艺和flash工艺。 目前以硬件描述语言(verilog 或 vhdl)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 fpga 上进行测试,是现代 ic 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如and、or、xor、not)或者更复杂一些的组合功能比如解码器或数学方程式。在大
3、多数的fpga里面,这些可编辑的元件里也包含记忆元件例如触发器(flipflop)或者其他更加完整的记忆块。 系统设计师可以根据需要通过可编辑的连接把fpga内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品fpga的逻辑块和连接可以按照设计者而改变,所以fpga可以完成所需要的逻辑功能。 fpga一般来说比asic(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的fpga。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是
4、在普通的fpga上完成的,然后将设计转移到一个类似于asic的芯片上。另外一种方法是用cpld(复杂可编程逻辑器件备)。fpga采用了逻辑单元阵列lca(logic cell array)这样一个概念,内部包括可配置逻辑模块clb(configurable logic block)、输出输入模块iob(input output block)和内部连线(interconnect)三个部分。 1.2 fpga芯片结构目前主流的fpga仍是基于查找表技术的,已经远远超出了先前版本的基本性能,并且整合了常用功能(如ram、时钟管理和dsp)的硬核(asic型)模块。如图1-1所示(注:图1-1只是一个
5、示意图,实际上每一个系列的fpga都有其相应的内部结构),fpga芯片主 要由6部分完成,分别为:可编程输入输出单元、基本可编程逻辑单元、完整的时钟管理、嵌入块式ram、丰富的布线资源、内嵌的底层功能单元和内嵌专用硬件模块。 图1-1 fpga芯片的内部结构 fpga芯片的内部结构每个模块的功能如下: 1 可编程输入输出单元(iob) 可编程输入/输出单元简称i/o单元,是芯片与外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配要求,其示意结构如图1-2所示。 fpga内的i/o按组分类,每组都能够独立地支持不同的i/o标准。通过软件的灵活配置,可适配不同的电气标准与i/o物理
6、特性,可以调整驱动电流的大小,可以改变上、下拉电阻。目前,i/o口的频率也越来越高,一些高端的fpga通过ddr寄存器技术可以支持高达2gbps的数据速率。 图1-2 典型的iob内部结构示意图 典型的iob内部结构示意图外部输入信号可以通过iob模块的存储单元输入到fpga的内部,也可以直接输入fpga 内部。当外部输入信号经过iob模块的存储单元输入到fpga内部时,其保持时间(hold time)的要求可以降低,通常默认为0。 为了便于管理和适应多种电器标准,fpga的iob被划分为若干个组(bank),每个bank的接口标准由其接口电压vcco决定,一个bank只能有 一种vcco,但
7、不同bank的vcco可以不同。只有相同电气标准的端口才能连接在一起,vcco电压相同是接口标准的基本条件。 2 可配置逻辑块(clb) clb是fpga内的基本逻辑单元。clb的实际数量和特性会依器件的不同而不同,但是每个clb都包含一个可配置开关矩阵,此矩阵由4或6个输入、一些 选型电路(多路复用器等)和触发器组成。 开关矩阵是高度灵活的,可以对其进行配置以便处理组合逻辑、移位寄存器或ram。在xilinx公司的fpga器件中,clb由多个(一般为4个或2个)相同的slice和附加逻辑构成,如图1-3所示。每个clb模块不仅可以用于实现组合逻辑、时序逻辑,还可以配置为分布式ram和分布式r
8、om。 图1-3 典型的clb结构示意图 典型的clb结构示意图slice是xilinx公司定义的基本逻辑单位,其内部结构如图1-4所示,一个slice由两个4输入的函数、进位逻辑、算术逻辑、存储逻辑和函数复用器组成。算术逻辑包括一个异或门(xorg)和一个专用与门(multand),一个异或门可以使一个slice实现 2bit全加操作,专用与门用于提高乘法器的效率;进位逻辑由专用进位信号和函数复用器(muxc)组成,用于实现快速的算术加减法操作;4输入函数发生 器用于实现4输入lut、分布式ram或16比特移位寄存器(virtex-5系列芯片的slice中的两个输入函数为6输入,可以实现6输
9、入lut或 64比特移位寄存器);进位逻辑包括两条快速进位链,用于提高clb模块的处理速度。 图1-4 典型的4输入slice结构示意图 典型的4输入slice结构示意图3 数字时钟管理模块(dcm) 业内大多数fpga均提供数字时钟管理(xilinx的全部fpga均具有这种特性)。xilinx推出最先进的fpga提供数字时钟管理和相位环路锁定。相位环路锁定能够提供精确的时钟综合,且能够降低抖动,并实现过滤功能。 4 嵌入式块ram(bram) 大多数fpga都具有内嵌的块ram,这大大拓展了fpga的应用范围和灵活性。块ram可被配置为单端口ram、双端口ram、内容地址存储器 (cam)以
10、及fifo等常用存储结构。ram、fifo是比较普及的概念,在此就不冗述。cam存储器在其内部的每个存储单元中都有一个比较逻辑,写入 cam中的数据会和内部的每一个数据进行比较,并返回与端口数据相同的所有数据的地址,因而在路由的地址交换器中有广泛的应用。除了块ram,还可以将 fpga中的lut灵活地配置成ram、rom和fifo等结构。在实际应用中,芯片内部块ram的数量也是选择芯片的一个重要因素。 单片块ram的容量为18k比特,即位宽为18比特、深度为1024,可以根据需要改变其位宽和深度,但要满足两个原则:首先,修改后的容量(位宽 深度)不能大于18k比特;其次,位宽最大不能超过36比
11、特。当然,可以将多片块ram级联起来形成更大的ram,此时只受限于芯片内块ram的数量,而 不再受上面两条原则约束。 5 丰富的布线资源 布线资源连通fpga内部的所有单元,而连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。fpga芯片内部有着丰富的布线资源,根据工艺、长度、宽度和分布位置的不同而划分为类不同的类别。第一类是全局布线资源,用于芯片内部全局时钟和全局复位/置位的布线;第二类是长线资源,用以完成芯片 bank间的高速信号和第二全局时钟信号的布线;第三类是短线资源,用于完成基本逻辑单元之间的逻辑互连和布线;第四类是分布式的布线资源,用于专有时钟、复位等控制信号线。 在实际中设
12、计者不需要直接选择布线资源,布局布线器可自动地根据输入逻辑网表的拓扑结构和约束条件选择布线资源来连通各个模块单元。从本质上讲,布线资源的使用方法和设计的结果有密切、直接的关系。 6 底层内嵌功能单元 内嵌功能模块主要指dll(delay locked loop)、pll(phase locked loop)、dsp和cpu等软处理核(softcore)。现在越来越丰富的内嵌功能单元,使得单片fpga成为了系统级的设计工具,使其具备了软硬件联合设计的能力,逐步向soc平台过渡。 dll和pll具有类似的功能,可以完成时钟高精度、低抖动的倍频和分频,以及占空比调整和移相等功能。xilinx公司生产
13、的芯片上集成了 dll,altera公司的芯片集成了pll,lattice公司的新型芯片上同时集成了pll和dll。pll 和dll可以通过ip核生成的工具方便地进行管理和配置。dll的结构如图1-5所示。 图1-5 典型的dll模块示意图 典型的dll模块示意图7. 内嵌专用硬核 内嵌专用硬核是相对底层嵌入的软核而言的,指fpga处理能力强大的硬核(hard core),等效于asic电路。为了提高fpga性能,芯片生产商在芯片内部集成了一些专用的硬核。例如:为了提高fpga的乘法速度,主流的fpga 中都集成了专用乘法器;为了适用通信总线与接口标准,很多高端的fpga内部都集成了串并收发器
14、(serdes),可以达到数十gbps的收发速度。 xilinx公司的高端产品不仅集成了power pc系列cpu,还内嵌了dsp core模块,其相应的系统级设计工具是edk和platform studio,并依此提出了片上系统(system on chip)的概念。通过powerpc、miroblaze、picoblaze等平台,能够开发标准的dsp处理器及其相关应用,达到soc的开发目 的。 1.3 fpga的基本特点fpga的基本特点:1)采用fpga设计asic电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。 2)fpga可做其它全定制或半定制asic电路的中试样片。
15、3)fpga内部有丰富的触发器和io引脚。 4)fpga是asic电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) fpga采用高速chmos工艺,功耗低,可以与cmos、ttl电平兼容。 可以说,fpga芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 fpga是由存放在片内ram中的程序来设置其工作状态的,因此,工作时需要对片内的ram进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,fpga芯片将eprom中数据读入片内编程ram中,配置完成后,fpga进入工作状态。掉电后,fpga恢复成白片,内部逻辑关系消失,因此,fpga能够反复使用。fpga的编
16、程无须专用的fpga编程器,只须用通用的eprom、prom编程器即可。当需要修改fpga功能时,只需换一片eprom即可。这样,同一片fpga,不同的编程数据,可以产生不同的电路功能。因此,fpga的使用非常灵活。 fpga配置模式fpga有多种配置模式:并行主模式为一片fpga加一片eprom的方式;主从模式可以支持一片prom编程多片fpga;串行模式可以采用串行prom编程fpga;外设模式可以将fpga作为微处理器的外设,由微处理器对其编程。 如何实现快速的时序收敛、降低功耗和成本、优化时钟管理并降低fpga与pcb并行设计的复杂性等问题,一直是采用fpga的系统设计工程师需要考虑的
17、关键问题。如今,随着fpga向更高密度、更大容量、更低功耗和集成更多ip的方向发展,系统设计工程师在从这些优异性能获益的同时,不得不面对由于fpga前所未有的性能和能力水平而带来的新的设计挑战。 例如,领先fpga厂商xilinx最近推出的virtex-5系列采用65nm工艺,可提供高达33万个逻辑单元、1,200个i/o和大量硬ip块。超大容量和密度使复杂的布线变得更加不可预测,由此带来更严重的时序收敛问题。此外,针对不同应用而集成的更多数量的逻辑功能、dsp、嵌入式处理和接口模块,也让时钟管理和电压分配问题变得更加困难。 幸运地是,fpga厂商、eda工具供应商正在通力合作解决65nm f
18、pga独特的设计挑战。不久以前,synplicity与xilinx宣布成立超大容量时序收敛联合工作小组,旨在最大程度地帮助系统设计工程师以更快、更高效的方式应用65nm fpga器件。设计软件供应商magma推出的综合工具blast fpga能帮助建立优化的布局,加快时序的收敛。 最近fpga的配置方式已经多元化!第二章 fpga工作原理fpga工作原理fpga采用了逻辑单元阵列lca(logic cell array)这样一个概念,内部包括可配置逻辑模块clb(configurable logic block)、输出输入模块iob(input output block)和内部连线(inter
19、connect)三个部分。 2.1 查找表(look-up-table)的原理与结构采用这种结构的pld芯片我们也可以称之为fpga:如altera的acex,apex系列,xilinx的spartan,virtex系列等。查找表(look-up-table)简称为lut,lut本质上就是一个ram。目前fpga中多使用4输入的lut,所以每一个lut可以看成一个有4位地址线的16x1的ram。当用户通过原理图或hdl语言描述了一个逻辑电路以后,pld/fpga开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入ram,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地
20、址对应的内容,然后输出即可。下面是一个4输入与门的例子,实际逻辑电路lut的实现方式a,b,c,d 输入逻辑输出地址ram中存储的内容00000000000001000010.0.01111111111一.基于查找表(lut)的fpga的结构我们看一看xilinx spartan-ii的内部结构,如下图:xilinx spartan-ii 芯片内部结构slices结构spartan-ii主要包括clbs,i/o块,ram块和可编程连线(未表示出)。在spartan-ii中,一个clb包括2个slices,每个slices包括两个lut,两个触发器和相关逻辑。 slices可以看成是sparta
21、nii实现逻辑的最基本结构 (xilinx其他系列,如spartanxl,virtex的结构与此稍有不同,具体请参阅数据手册)altera的flex/acex等芯片的结构如下图:altera flex/acex 芯片的内部结构逻辑单元(le)内部结构flex/acex的结构主要包括lab,i/o块,ram块(未表示出)和可编程行/列连线。在flex/acex中,一个lab包括8个逻辑单元(le),每个le包括一个lut,一个触发器和相关的相关逻辑。le是flex/acex芯片实现逻辑的最基本结构(altera其他系列,如apex的结构与此基本相同,具体请参阅数据手册)二.查找表结构的fpga逻
22、辑实现原理我们还是以这个电路的为例:a,b,c,d由fpga芯片的管脚输入后进入可编程连线,然后作为地址线连到到lut,lut中已经事先写入了所有可能的逻辑结果,通过地址查找到相应的数据然后输出,这样组合逻辑就实现了。该电路中d触发器是直接利用lut后面d触发器来实现。时钟信号clk由i/o脚输入后进入芯片内部的时钟专用通道,直接连接到触发器的时钟端。触发器的输出与i/o脚相连,把结果输出到芯片管脚。这样pld就完成了图3所示电路的功能。(以上这些步骤都是由软件自动完成的,不需要人为干预)这个电路是一个很简单的例子,只需要一个lut加上一个触发器就可以完成。对于一个lut无法完成的的电路,就需
23、要通过进位逻辑将多个单元相连,这样fpga就可以实现复杂的逻辑。由于lut主要适合sram工艺生产,所以目前大部分fpga都是基于sram工艺的,而sram工艺的芯片在掉电后信息就会丢失,一定需要外加一片专用配置芯片,在上电的时候,由这个专用配置芯片把数据加载到fpga中,然后fpga就可以正常工作,由于配置时间很短,不会影响系统正常工作。也有少数fpga采用反熔丝或flash工艺,对这种fpga,就不需要外加专用的配置芯片。第三章 ps2键盘通信3.1 ps2背景ps2背景ps/2接口是目前最常见的鼠标接口,最初是ibm公司的专利,俗称“小口”。这是一种鼠标和键盘的专用接口,是一种6针的圆型
24、接口。但鼠标只使用其中的4针传输数据和供电,其余2个为空脚。ps/2接口的传输速率比com接口稍快一些,而且是atx主板的标准接口,是目前应用最为广泛的鼠标接口之一,但仍然不能使高档鼠标完全发挥其性能,而且不支持热插拔。在btx主板规范中,这也是即将被淘汰掉的接口。 需要注意的是,在连接ps/2接口鼠标时不能错误地插入键盘ps/2接口(当然,也不能把ps/2键盘插入鼠标ps/2接口)。一般情况下,符合pc99规范的主板,其鼠标的接口为绿色、键盘的接口为紫色,另外也可以从ps/2接口的相对位置来判断:靠近主板pcb的是键盘接口,其上方的是鼠标接口。ps2设备有主从之分,主设备采用female插座
25、,从设备采用male插头,现在广泛实用的ps2键盘鼠标均在从设备方式下工作。ps2接口的时钟与数据线都是集电极开路结构。主从设备之间数据通信采用双向同步串行方式传输,时钟信号由从设备产生。a.从设备到主设备的通信当从设备向主设备发送数据时,首先检查时钟线,以确认时钟线是否为高电平。如果是高电平,从设备就可以开始传输数据;反之,从设备要等待获得总线的控制权,才能开始传输数据。传输的每一帧由11位组成,发送时序及每一位的含义如图2所示。每一帧数据中开始位总是为0,数据校验采用奇校验方式,停止位始终为1。从设备到主设备通信时,从设备总是在时钟线为高时改变数据线状态,主设备在时钟下降沿读入数据线状态。
26、如表1所示:3.2 ps2键盘通信目前,键盘有许多种,ps2键盘是当今pc机最常用的种类,这里仅涉及最常用的键盘,也就是6引脚发送数据的键盘。键盘通信时需要四根连接线:电源线、地线、时钟线clk、数据线data。data和clk是可双向通信的i/o线,也就是说,通过这两根线,即可以把主机的数据发送到ps2设备,又可以把设备的数据发向主机。在无键按下时,data和clk一直处于高电平状态。但有键按下时,键盘先检查clk,看它是否处于处于高电平,如果是处在低电平,说明主机无空闲接受数据,这时键盘将会把数据放在自己的缓冲区,直到clk重新被拉高。键盘clk首先产生下降沿,这时已在数据线上产生信号,如
27、:起始位总是为0,之后每一个时钟周期时钟电平为高时数据线上加将要输出的电平,在时钟的下降沿它将被主机读取。3.3 原理扫描是从屏幕的左上方开始的,从左到右、从上到下地进行扫描。每扫描完一行,电子束就会回到屏幕左边下一行的起始位置。在这期间,crt对电子束进行消隐。每行结束时,用行同步信号进行行同步。扫描完所有行后,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一次的扫描。ps2键盘控制模型电子琴顶层电路的设计如图所示,该图是电子琴顶层设计电路。按实现功能电路主要分成这几个模块:1) 锁相环、用宏模块搭建的22位.23位.24位计数器、用verilog写的时钟分频模块f
28、div:1 提供25mhz的时钟信号驱动vga显示2 与24位计数器结合提供1hz给数字时钟用以计时3 与23位计数器结合提供2mhz给cnt138t与rom_qupu以控制节奏4 与22位计数器结合提供4mhz给cnt10b以控制ram的地址5 与fdiv结合提供1mhz给speak2) 模块ps2_2:ps2通信模块,将键盘输出码转换为ascii码,只处理字母3) 二进制编码器code3:根据键盘码的ascii码,用verilog写高、中、低三个音阶的分别对应的键盘字母代码,与f_code模块相对应,以此来扩大音域4) 模块f_code:1 模块f_code的功能首先是为模块spker提供
29、决定所发音符的分频预置数,而此数在spker输入口停留的时间即为此音符的节拍长度。2 同时,f_code把code3中音符的代码转化为音符频率所对应的数值3 与模块decl7s结合显示音符4 h3.0显示0,1,2表示高、中、低三个音阶5) 模块decl7s:7段译码显示模块,在数码管上显示1,2,3,4,5,6,7音符6) 模块ram:与模块cnt10b结合记录弹奏的乐曲7) 模块rom:与模块cnt138b结合可自动播放储存的音乐8) 模块shizhong:可记录弹奏时间9) 模块vga:显示按键的位置,当音乐响时同步显示对应的音的键盘位置10)模块speak输出每一个音符的音调11)模块
30、mux21选择播放中的音乐或中的储存音乐第四章 ps2键盘控制电子琴(vhdl)4.1 ps2键盘控制电子琴程序共分为div1、div2分频模块,ps2键盘控制模块,music发音模块,tone音符分频模块,spk发声模块。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;- uncomment the following library declaration if instantiating- any xilinx primitives
31、in this code.-library unisim;-use unisim.vcomponents.all;entity div1 is port(clk_50mhz: in std_logic; -输入时钟为50mhz; c0: out std_logic;c1: out std_logic); end div1;architecture art of div1 isbegind1: process(clk_50mhz) -工作进程开始,将50mhz时钟50分频,=1mhz;variable count6:integer range 0 to 50; beginif(clk_50mhz
32、 event and clk_50mhz =1)then count6:=count6+1; if count6=25 then c0 =1; elsif count6=50 then c0 =0; count6:=0;end if;end if;end process;d2: process(clk_50mhz) -将50mhz时钟100分频,=0.5mhz;variable count7: integer range 0 to 100;beginif(clk_50mhz event and clk_50mhz =1)then count7:=count7+1; if count7=50 t
33、hen c1=1; elsif count7=100 then c1 =0; count7:=0;end if;end if;end process;end art;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;- uncomment the following library declaration if instantiating- any xilinx primitives in this code.-library unisim;
34、-use unisim.vcomponents.all;entity div2 is port(clk4: in std_logic; -输入时钟为1mhz; c2: out std_logic; -输出100khz; c3ut std_logic); -输出时钟为4hz;end div2;architecture art of div2 isbegind1: process(clk4) -工作进程开始,将1mhz时钟10分频;variable count8:integer range 0 to 10; beginif(clk4 event and clk4 =1)then count8:=c
35、ount8+1; if count8=5 then c2 =1; elsif count8=10 then c2 =0; count8:=0;end if;end if;end process;d2: process(clk4) -250000分频;variable count9: integer range 0 to 250000;beginif(clk4 event and clk4 =1)then count9:=count9+1; if count9=125000 then c3=1; elsif count9=250000 then c3 =0; count9:=0;end if;e
36、nd if;end process;end art;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;- uncomment the following library declaration if instantiating- any xilinx primitives in this code.-library unisim;-use unisim.vcomponents.all;entity ps2 is port ( sysclk:
37、in std_logic; ps2clk: in std_logic; ps2data: in std_logic; reset: in std_logic; result: out std_logic_vector(7 downto 0); end ps2;architecture art of ps2 issignal ps2clk_r : std_logic_vector(2 downto 0); signal ps2clkfall : std_logic; signal q : std_logic_vector(11 downto 0); signal ps2serialdata :
38、std_logic_vector(10 downto 0) ; begin process(sysclk,reset) beginif reset=0 thenps2clk_r = 000;elsif rising_edge(sysclk) then ps2clk_r(2) = ps2clk_r(1);ps2clk_r(1) = ps2clk_r(0);ps2clk_r(0) = ps2clk;end if;end process;ps2clkfall=1 when ps2clk_r=110 else 0; process(sysclk) beginif rising_edge(sysclk)
39、 then if reset=0 then q 0); elsif ps2clkfall=1 then if q(0)=0 then q = ps2data & 01111111111; else q = ps2data & q(11 downto 1); end if; end if; end if;end process;process(q)beginif q(0) = 0 thenps2serialdata = q(11 downto 1);result = not ps2serialdata(8 downto 1);elseresult =11111111;end if;end pro
40、cess;end art;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;- uncomment the following library declaration if instantiating- any xilinx primitives in this code.-library unisim;-use unisim.vcomponents.all;entity music is port(clk2: in std_logic; -
41、4hz时钟信号 index1: in std_logic_vector(7 downto 0); -键盘输入信号 index2: out std_logic_vector(7 downto 0);-音符信号输出end music;architecture art of music issignal count3:integer range 0 to 31;-定义信号计数器,有32个元素beginm1rocess(count3,clk2,index1) -music工作进程开始beginif(clk2 event and clk2 =1)then -时钟信号2为1 if(count3=31)th
42、en -计数器值为31 count3=0; -计数器清0else count3index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2 index2null;end case;else -index2index2index2
43、index2index2index2index2index2index2index2index2index2index2index2index2index2index2index2index2index2index2index2index2 tone1=1191; code1=1001111; high1 tone1=1702; code1=0010010; high1 tone1=1517; code1=0000110; high1 tone1=1432; code1=1001100; high1 tone1=1276; code1=0100100; high1 tone1=1136; code1=0100000; high1 tone1=1012;code1=0001111; high1 tone1=955;code1=100
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 离心式冷水机组技术规格书
- 江西省九江市六校2024-2025学年九年级上学期期中联考化学试题(含答案)
- 第六章《世界的发展差异》 2024-2025学年湘教版七年级上册地理单元测试卷(含解析)
- 拨浪鼓玩具产品供应链分析
- 对流式烤箱产品供应链分析
- 压茶砖机市场需求与消费特点分析
- 双向触发极管产业深度调研及未来发展现状趋势
- 人教版英语八年级上册 期末常见题型能力提升练习
- 湖北省武汉市洪山区鲁巷实验小学2024~2025学年二年级上学期期中数学试题
- 医用白朊制剂产业运行及前景预测报告
- 安徽省合肥市第四十五中学2022-2023学年九年级上学期数学期中考试卷
- 沪教版八年级上英语语法专项课件
- 桩基础工程施工组织方案
- 乡村振兴战略试题和答案(精简100题)
- 供水运营管理实施方案(4篇)
- 水电站基本构造原理与类型ppt版(共67)
- 秦朝统一PPT课件教学
- 2022-2023学年 选择性必修2 浙科版 人类与环境章末核心素养整合 课件(15张)
- 《民族团结》- 完整版课件
- 医院 交班站位图
- 修改病句(句子成分讲解)课件
评论
0/150
提交评论