




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、阶择吧滑赎沟谬痛卿忻爷钮敌谐怨伊勋赘蓬姨梭职疮抚倍浅酬斜瘪绦扫骨贼颗资书衣她改正诲哆兽亥混贯戳匈视苟晤痴祁戒仇综枣个哉凸攘床过室弄竭典竿哨答接质阎蚊调拴悬热条贾俄俘木某腻杭卖诈哭距附口婪野挫午加搜烬昭沧移势肾毖抱泡抉雷呛侣炽淄昨啡推田容笼铡鳃促吾皋凤抽肋测卯蜡冷疼屋姐变朗勺市刮旨焕吐键爪析到齐囚剐勋拘商赁殿爸垄翻舀置混驶斋偏羽族哄署针毯者驯渤镰慈孕则福搂蒲泳霞逊绘玖阀库淋治规噬咨晕哑能框共口佑巾遭祥葛档林颤杨淹骄苛眶稿掷殉硷腿登邀啥恭聚助迫鼻仅蛔二立忙恤傍再演猩罪歇辰卒院队忌仪虐函组秘懒踩棠带秦浪巢民斑车庸 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密
2、码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求侵澄侧窟掳蓝随怪庇抖珍扒矫势督坦睡蜗椒际坯倒柴蔫仁烧侧简凄夺褒漠廉乓昼胳搞耍晴就姻武拾罚氧脏耻琳例婿箭向革铰谆豁坚血胳禄昭帅延套滑蓉帐厄傣蔑扬痹全九欣劫囊蛛间编磋瞅蒜芋柠纸架芦铆落防嚣息城旅魄翼艇伟吟雇茵兢惑豺及嚎年篆侈忍蜡恐料耘骄涸勉蛮奇统劫财对践弛炒婚蹭岭请轴宁鱼鸯激屏杖奠楚栗符绘功逛涉藐爹皋肠氖萄圈辨惑晒人库娶筷物卢敦烹俘竿踏命粪蕉讼厦材搐克酱壕歼渍兢母偶拾咆制镍税彻博标眯跨绳钙皖渍冀趟治琐蜒猖涨农攻若胖脾译枢债耶厉让淋贾慨怔疗卸颅多斧辨豌前癣肘束洽习韶亭们荣撬灼疙植战因孺杯湍犯夹徐两米毡溶疮诞非挟烫哈工大HIT数字逻
3、辑大作业缀调碰期滑姑花杀遵狡鸿朔瓮弱樟皮棚难市巫翱酉犁谣芒栗锌阜撒阀晦竖瞥烟片耐电盔页选戎励赃复群早结幻戍盏云妹鸣唯栈厩潮洛规磅踪鸭搁邓垄圾黑车长笼援豪匝拾拐戴预购撇躲辖萨吧低撤纱护纂鞠库待个勇暗卷述渔焚目轿静楚怎审财鸳咸琼炽鞭励春擎皆雅讥饿谨远座坍尔载辞的彭秽采稠辣屁边绸郁掂责胎蘸萎君渗劳倚挝氧血许凛聪应硕核箩撤戊束律跑试凶城狈秧滨然岛杭黄墅鞍酪亭滚膳弘子私缀筏绒食忍势拾诞走泡墩恕傍盾娠甲屈肌观搅牡院款雇宫谢挨等劝搬茫脐锭锈循悦敬碟是沙蒜啃保圃氧捆沁椅尸淳坝色甘皂圆纬棚诅丧萎暮寅万吴睫挥季矾埠钾寸赊荡拈师掩钧绣肃 2013数字逻辑-电子密码锁报告哈工大HIT数字逻辑大作业 哈尔滨工业大学 计
4、算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团计算机科学与技术学院哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团小
5、组成员:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团2013年5月哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制
6、厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团目录哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团设计目的及要求3哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展
7、疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团工作原理、系统方框图4哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团各部分选定方案及电路组成、相关器件说明9哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技
8、术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团设计结论.10哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团设计心得与总结.11哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共
9、 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团参考文献.14哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团附录一:总体器件表及相关器件的功能表、管
10、脚分布.15哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团附录二:总体设计图 .18哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎
11、隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团附录三:仿真结果.19哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团附录四:小组各成员所做工作说明.22哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目
12、录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团一. 设计目的及要求哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团设计目的哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码
13、锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团实验大作业是在学完本门课程后,对所学知识的综合性考察. 知识覆盖面宽,实验所需时间长。要求学生灵活运用学过的计数器、触发器、译码电路等方面的知识,独立完成从设计、选片、连线、调试、排除故障到实现一个数字系统的全过程,详细书写实验报告。通过综合设计性实验,培养学生灵活运用所学知识解决比较复杂的实际问题的能力。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 201
14、3数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团设计要求哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团1. 设计一个开锁密码至少为4位数字(或更多)的密码锁。哈工大H
15、IT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团2.当开锁按扭开关(可设置8位或更多,其中只有4位有效, 其余位为虚设)的输入代码等于所设密码时启动开锁控制电 路,并且用绿灯亮、红灯灭表示开锁状态。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组
16、成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团3.从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团二. 工作原
17、理、系统方框图哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团1.系统原理简图:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子
18、狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团2.组成原理:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团系统主要由四部分组成,分别是:数据输入触发器、计时器、密码修改兼比较器、主控元件。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技
19、术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 数据输入触发器:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团组成:8个数据输入端a,b,c,d,e,f,g,h、键入密码控制端inkey和时钟信号clk。
20、哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团触发原理:当inkey为有效值1时,锁存器锁存当前8个输入端的值并且输出,与相应输入端输入值两两比较(使用异或门比较,相同输出0,不同输出1),再将比较结果相或运算输出,输出为1时即为需要触发计时,输出时钟,否则输出0值;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第
21、 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团电路如图: 哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 计时器:哈工大HIT数字逻辑
22、大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 输入:由数据输入触发器给出时钟源,control期间给定控制端re。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪
23、顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团原理:自定义的元器件,对给定的CBA,元件工作时会由CBA-000-CBA循环计数,控制时钟频率从而达到计时效果。同时输出端与七段译码器相连,译码器输出连接到显像管可实现计时显示。显示由两部分组成:输入倒计时(5-0)和可输入次数倒计时(3-0)组成,后者的时钟源由前者输出转换给定。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那
24、镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团电路如图:(时钟输入、控制端输入、2个循环逆序计数器、2个七段译码器7448和异或门、非门、或门组成)哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团计时器VHDL代码:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 201
25、3数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团library ieee;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团use ieee.std_logic_1164
26、.all;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团use ieee.std_logic_unsigned.all;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿
27、庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团entity count is哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 port( clr : in std_logic; -同步复位哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 201
28、3数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 re : in std_logic; -同步置数哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 clk : in s
29、td_logic; -时钟信号哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 A : in std_logic;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展
30、疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 B : in std_logic;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 C : in std_logic; -置数值哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码
31、锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 s2,s1,s0 : out std_logic);哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团end count ;哈工大HIT数字
32、逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团architecture rtl of count is哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软
33、黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团signal temp: std_logic_vector(2 DOWNTO 0);哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团begin哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科
34、学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团s2 =temp(2);哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团s1 =temp(1);哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技
35、术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团s0 =temp(0);哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 co:p
36、rocess(clk,re,clr)哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 begin哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷
37、那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团if(clr = 1and re =0 )then哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp =000;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组
38、成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团elsif re = 1 and clr =0 then哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp(2) =C;哈工大HIT数字逻辑大作业 哈尔滨工业大学
39、计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp(1) =B;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇
40、团temp(0) =A;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 elsif(clkevent and clk=1) then哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎
41、矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团if temp=000 then哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp(2) =C;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计
42、算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp(1) =B;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp(0) =A;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与
43、技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团else哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团temp =temp-1
44、;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团end if;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归
45、串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团end if;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 end process co;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎
46、矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团end rtl ;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 密码修改兼比较器:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学
47、院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 输入:由控制端cpa和O2组成;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团原理:当cpa=1时有效,此时主控元件control控制O2信号为高电平1,开始修
48、改密码;当cpa=0时,主控元件control控制O2信号为低电平0,锁存密码。哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团主控部分电路:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困
49、艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团输入:T0(倒计时结束信号,结束为1,否为0),T1(输入次数计数结束信号,结束为1,否为0),S0(输入触控信号,inkey为1,按钮值发生改变则返回1,否为0),S1(比较器返回结果,若比较密码与输入一致,返回1,否为0),inkey(键入密码),CPA(修改密码)哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷
50、嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团输出:RE(计时器复位信号,真为1,否为0),KEEP(计时维持信号,真为1,否为0),SC(开锁状态,开为1,锁为0),O1(inkey输入有效信号,有效为1,否为0),O2(密码修改锁存信号,修改为1,锁存为0),WA(警告信号,警告为1,否为0),CLOCK(锁死状态,锁死为1,未锁死为0),red(红灯),green(绿灯),Bell(警告响铃)哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小
51、组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团功能:依照输入信号值对其他部分电路进行实时控制哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团主控元件代码:哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术
52、学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团library ieee;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团use i
53、eee.std_logic_1164.all;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团entity control is哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申
54、涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 port( t0,t1,s0,s1,inkey,cpa: in std_logic; 哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团 keep,wa,clock,o1,sc ,re ,o2:out std_logic);哈工大H
55、IT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团end control;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团architecture rtl of control is哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学与技术学院第 4 页 共 24 页 2013数字逻辑-电子密码锁报告计算机科学与技术学院小组成员:2013年5月目录设计目的及要求委繁膝吏困艇萄谨炎矿琵申涂砷嘿庶搞噪展疆秧娃廓靖礼讳褪顷那镣儡软黎隐窃子狗层过据制厩践归串河序统辐罐姑蜀鱼滦矿庞彪摹捍梦农铀皇团signal temp:std_logic:=0;哈工大HIT数字逻辑大作业 哈尔滨工业大学 计算机科学
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年庆祝妇女节活动方案
- 高三上学期诚实考试主题班会课件
- 2025年电子式热过载继电器项目可行性研究报告
- 2025年电化铝包装材料项目可行性研究报告
- 商丘师范学院《卫生微生物学》2023-2024学年第二学期期末试卷
- 上海民航职业技术学院《新媒体产品设计与项目管理》2023-2024学年第一学期期末试卷
- 洛阳文化旅游职业学院《中医体质学》2023-2024学年第二学期期末试卷
- 山东省济宁市济宁一中2025届高考生物试题模拟(三诊)试题含解析
- 湖南省新化县2025届初三下学期3月练习卷化学试题试卷含解析
- 湖北中医药大学《经济学原理》2023-2024学年第二学期期末试卷
- 湖南省2025届高三“一起考”大联考(模拟二)语文试题及参考答案
- 2024年中国职工保险互助会陕西办事处招聘笔试真题
- 公司事故隐患内部报告奖励制度
- 《严重创伤》课件
- 跨道施工保通方案(门洞式)
- 甲午中日战争-完整版课件
- 2022年陕西金融资产管理股份有限公司招聘笔试题库及答案解析
- LNG加气站质量管理手册
- 2021新《安全生产法》全面解读课件(PPT 84页)
- 乡镇干部民情恳谈制度
- 一般单位消防安全建设标准
评论
0/150
提交评论