病房呼叫系统课程设计说明书_第1页
病房呼叫系统课程设计说明书_第2页
病房呼叫系统课程设计说明书_第3页
病房呼叫系统课程设计说明书_第4页
病房呼叫系统课程设计说明书_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 键入文字课程设计说明书课程设计名称: 数电课程设计 课程设计题目: 病房呼叫系统 学 院 名 称: 南昌航空大学信息工程学院 专业: 通信工程 班级: 学号 姓名: 评分: 教师: 2014 年 9 月 25 日 数电 课程设计任务书题目病房呼叫系统内容及要求1)用15个开关模拟5个病房的呼叫输入信号,1号优先级最高;15优先级依次降低;2)用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3)对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。进度安排第1周:查阅资料,确定方案,完成原理图设计及仿真

2、;第2周:领元器件、仪器设备,制作、焊接、调试电路,完成系统的设计;第3周:检查设计结果、撰写课设报告。学生姓名:指导时间:周二、周三、周四、周五指导地点:e 楼 607室任务下达2014 年9月1日任务完成2014 年 9 月19日考核方式1.评阅 2.答辩 3.实际操作 4.其它指导教师系(部)主任 20142015 学年第 1学期第 1 周 3 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务处存档13摘要本设计完成的是病房呼叫系统的功能。该设计采用主从结构,监控机构放置在医生值班室内,当病床

3、有呼叫请求时进行声光报警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,提示闹铃响起,向值班室呼叫,并点亮相应床位的指示灯。采用模块设计思想,分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。本设计分为四个主要功能模块:呼叫显示模块,优先选择模块,译码显示模块,以及呼叫模块。这些模块共同工作完成本电路的功能实现。其中运用了8线3线优先编码器74ls148来实现优先选择模块主要功能,其中运用自己设计的小规模逻辑门电路,7段字形译码器74ls48和共阴极七段数码管来实现译码显示模块主要功能。关

4、键词: 优先级、编码、译码 目录第一章 课程设计任务及要求21.1设计任务21.2设计要求2第二章 系统设计方案选择32.1 总方案设计32.2 方案选择3第三章 系统组成及工作原理43.1 led指示灯电路43.2 数码管显示模块电路53.3 蜂鸣器呼叫模块电路6第四章 器件参数选择7第五章 软件仿真85.1 电路仿真总电路图85.2仿真结果9第六章 电路实际焊接,调试过程中发生的问题以及解决方法12第七章 分析和总结12附录 电路实物图13参考文献14第一章 课程设计任务及要求1.1设计任务1、设计一个病房呼叫系统。1.2设计要求1、用15个开关模拟5个病房的呼叫输入信号,1号优先级最高;

5、15优先级依次降低;2、用一个数码管显示呼叫信号的号码;没信号呼叫时显示0;又多个信号呼叫时,显示优先级最高的呼叫号(其它呼叫号用指示灯显示);3、对低优先级的呼叫进行存储,处理完高优先级的呼叫,再进行低优先级呼叫的处理。第二章 系统设计方案选择译码器进行译码且数码管显示对应床位编码器进行优先编码对应床位灯亮且蜂鸣器开始报警且呼叫信号2.1 总方案设计 图2.1.1 设计总思路框图2.2方案选择 病房呼叫系统整体方案为病人按下呼叫器开关,即逻辑数据开关,(1)进入第一模块,通过led指示灯显示病人呼叫的病房,(2)进入第二模块,传输到一个编码器进行编码,选用74ls148,8线3线优先编码器,

6、其低电平为有效输入;然后再进行译码输出,选用74ls48,为共阴极7段译码/驱动器,74ls148输出为反码输出,遂在74ls48前加上反向器进行输入;再用共阴极七段数码管进行输出。第三章 系统组成及工作原理3.1 led指示灯电路 图3.1.1 led指示灯部分电路 该系统由5个单刀双掷快关,5个led以及5个500的限流电阻构成。当开关闭合时,对面的led灯亮起。 3.2数码管显示模块电路图3.2.1 数码管显示模块电路根据设计要求,数码管要显示优先级别最高病房的呼叫信号,所以我们要对病房呼叫信号进行优先选择并输出。在本设计中,5号病床为优先级最高,然后依次是4,3,2,1号病床。 在这里

7、,应用了8线-3线优先编码器(74ls148)进行此功能的实现。其输入为低电平有效,输出编码为反码形式。 8线-3线优先编码器(74ls148)功能表如下所示:表3.2.1 8线-3线优先编码器(74ls148)功能表8线-3线优先编码器(74ls148)将输入的低电平有效信号进行优先选择,并且将选择出的信号传到译码显示模块,由于编码器输出为反码输出,故其后加入了3个非门。再传给译码器(74ls48)译码后输出给数码管显示相应床位。译码器(74ls48)功能表如下所示: 表3.2.2 译码器(74ls48)功能表3.3蜂鸣器呼叫模块电路 图3.3.1 蜂鸣器呼叫模块电路图5个开关控制5个输入信

8、号,进过一个与非门以及一个与门后连接蜂鸣器。当有一个输入变化时,蜂鸣器持续报警,直至关闭开关,输入变回初始状态。蜂鸣器是一种一体化结构的电子讯响器,采用直流电压供电,广泛应用于计算机、打印机、复印机、报警器、电子玩具、汽车电子设备、电话机、定时器等电子产品中作发声器件。我们本次设计就是用这种设备来模拟,它的外形常见一般呈圆柱状,下面两针长短不同,长的就为正极,短为负极,我们只要在正负极加上正向电压其便可以发出声响。第四章 器件参数选择 1、电阻 在本设计中,电阻的主要作用是限制电流大小,以保证电路的正常工作,所以要正确选择所需电阻原件的大小。应用前,电阻阻值要用数字三用表检测,检查阻值是否正确

9、。2、二极管 二极管在本设计中的应用主要是发光二级管(led),使用前必须串接相应的电阻进行加电测试,确认性能良好。3、芯片 本设计中,一共用了5块芯片。分别为与非门(74ls30d)、与门(7408n)、8线-3线优先编码器(74ls148d)、译码器(74ls48d)、非门(74ls04d)。 第五章 软件仿真5.1电路仿真总电路图图5.1.1 电路仿真总电路图5.2仿真结果1、当无人呼叫时,数码管显示0,仿真结果如图所示:图5.2.1 无人呼叫时电路仿真结果图2、当5号呼叫时,数码管显示5,5号灯亮,仿真结果如图所示:图5.2.2 5号床呼叫时电路仿真结果图3、当5号和3号同时呼叫时,优

10、先显示5号,关闭5号后,显示3号,仿真结果如图所示:图5.2.3 5号床和3号床同时呼叫时电路仿真结果图图5.2.4 3号床停止呼叫时电路仿真结果图4、3号单独呼叫,数码管显示3,3号灯亮,仿真结果如图所示:图5.2.5 3号床单独呼叫时电路仿真结果图第六章:电路实际焊接,调试过程中发生的问题以及解决方法 我们在实际的过程中遇到了一些问题,首先我们没有单刀双掷的开关,我们只有按键式的开关,所以我们一开始在焊接开关时,出现了焊接错误,当时我们并未发现,直到全部焊接完以后,我们才发现并不需要者一排开关,为了美观,我们就没拆卸下来。同时我们在译码器的管脚接法上出现了错误,我们原先的6管脚一开始因为没

11、用,是悬空的,结果导致我们的数码管输出加了8,然后我们把6管脚接地后,就一切正常,符合预期了。第七章 分析和总结本次课程设计持续了二个星期的时间,在设计之前我根据系统设计的要求,先对每个模块都仔细的设计分析,再对每个模块进行耦合,排除相互干扰,得到整体的系统的电路图,本次课程设计可以总结为以下的几点收获:1、进一步巩固数字电子技术知识这次课程设计主要是运用数字电路逻辑设计的一些相关知识,在整个设计过程中,都离不开对数字电路课程知识的再学习。在开始设计初,我将数字电子技术基础实验教程相关部分仔仔细细复习了,并且自学了一些元件的使用,这样深入的了解,让我对系设计的理解更加透彻,对后来的快速设计起了

12、很好的铺垫作用。2、大大地提高了实践动手操作能力课程设计,通过选择的题目,根据要求,运用所学知识将其付诸实践来完成。这次课程设计虽然没有实际操作制作实物,但通过仿真,大大扩展了我们的思维,提高了用理论知识解决实际问题的能力,最关键的是发现问题、思考问题、解决问题的方法。这并不是在课堂上的单纯听懂,或者课后看书过程中的深入理解,这需要的是一种理论联系实践的能力。理论知识往往都是在一些理想状态下的假设论,而实际的动手操作则完全不同,需要考虑实际中的很多问题。有些知识在理论上可能完全没错但到了实际中则不然。3、团队合作、互相学习、共同研发在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不同的思路,他人的设计也可能有比你出色的地方,很好的借鉴,并在同伴的商讨中选择最优方案最终一定会得到最好的设计方法。总结:本次试验中包括一片74ls148、一片74ls48、七段数码管、蜂鸣器、若干与门,非门,与非门等。是目前我想出的用最少的器件,最简单的布线来实现所要求的功能附 录电路

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论