电压控制LC振荡器_第1页
电压控制LC振荡器_第2页
电压控制LC振荡器_第3页
电压控制LC振荡器_第4页
电压控制LC振荡器_第5页
已阅读5页,还剩42页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、摘 要 本电压控制 lc 振荡器系统包括压控振荡器、数字锁相环,单片机嵌入式系 统。本系统的压控振荡器部分采用了压控振荡器芯片 mc1648 和变容二极管 mv209,外接一个 lc 振荡回路构成变容二极管压控振荡器,频率调节范围宽,在 输入电压从 0.5v 变化到 8v 时,输出频率可以从 15mhz 变化到 35mhz,且能保持 良好的线性度,振荡环路加入了防振措施,高次谐波能得到很好的抑制,输出的 正弦波波形良好,纯度高,失真低,幅度高且稳定。由于采用单片机控制数字锁 相技术及锁相环式频率合成器(mc145152),使 vco 的频率稳定度和精度极高,步 进值可以在 1khz 到 1mh

2、z 内任意设置(最小为 1khz) ,为了实际使用方便和考虑 到题目要求,本设计的步进值置为 100khz。本系统使用单片机控制,从操作的灵 活性和可靠性方面考虑,仅置了四个按键,省去了繁杂的程序调试,也不用担心 程序会跑死。 关键词: 压控振荡器,数字锁相环,单片机,mc1648,mc14515 abstract this voltage control lc oscillator system package is drawn together and is pressed accuse voltage control oscillator and digital pll , single

3、 chip microcomputer embedded system . the accuse oscillator vco of this system has partly used that the pressure controls the oscillator chip mc1648 and becomes the appearance diode to meet outside the mv209, vibration of lc return circuit to form to become the appearance diode pressure to control t

4、he oscillator , the frequency setting range width , when input voltage changes to 8v from 0.5v , the export frequency can change to 35mhz, from 15mhz and can keep good the degree of linearity , the vibration cycle has been joined antihunts the measure , and high more inferior harmonic can get the re

5、straining of very good , the sine wave waveform of export good , purity high , it is low to lack fidelity , range gao qie stable . owing to uses single chip microcomputer control figure phase lock technique and pll type frequency synthesizer ( mc145152 ) , making that the frequency degree of stabili

6、ty and precision of the vco is extremely high ,bu jinzhi can be in the wanton installation of 1khz to the 1mhz ( minimal is 1khz ) , and for real uses conveniently and think over the title requirement that bu jinzhis set of this design is for 100khz . this system is used the single chip microcompute

7、r and is controlled , and thinks over flexibility and the reliability from operates , and has only placed four keys , and has left out miscellaneous program debug , and also need not worry that the program can run extremely . key words:vco, digital pll, muc, mc1648,mc145152 目 录 摘要.i abstract. 绪论.1 第

8、 1 章 系统设计.2 1.1 引言.2 1.1.1 设计要求.2 1.2 总体设计方案.2 1.2.1 设计思路.2 1.2.2 电压控制 lc 振荡器(vco)设计方案论证与选择.3 1.2.3 频率控制方式的设计方案论证与选择.3 1.2.4 频率合成器的设计方案论证与选择.4 1.2.5 控制模块的设计方案论证与选择.5 1.2.6 稳幅电路的设计方案论证与选择.6 1.2.7 电源方案论证与选择.6 1.3 系统组成.6 第 2 章 单元电路设计.8 2.1 压控振荡器和稳幅电路的设计. .8 2.2 锁相环式频率合成器的设计. 11 2.2.1 锁相环(pll)技术的基本原理.11

9、 2.2.2 锁相环路的数学模型.13 2.2.3 pll 频率合成电路的设计.16 2.3 前置分频器. .19 2.4 低通滤波器.22 2.5 频率的计算.23 2.6 单片机控制电路.24 2.7 电源电路设计.25 第 3 章 软件设计.26 3.1 mc145152 的控制和显示部分的程序设计.26 第 4 章 系统的性能指标.28 4.1 概论.28 4.2 系统性能指标.28 4.2.1 频率稳定.28 4.2.2 振幅稳定.29 4.3 频率合成器.29 4.4 集成锁相环路.31 结论/展望.33 致谢.35 参考文献.36 附录. .37 绪 论 在电子线路中,除了要有对

10、各种电信号进行放大的电子线路外,还需要有能 在没有激励信号的情况下产生周期性振荡信号的电子电路。这种电子电路就称为 振荡器。在电子技术领域,广泛应用着各种各样的振荡器,在广播、电视、通信 设备、各种信号源和各种测量仪器中,振荡器都是它们必不可少的核心组成部分 之一。 与放大器一样,振荡器也是一种能量转换器,但不同的是振荡器用于产生一 定频率和幅度的信号,它无需要外加激励就能自动地将直流电源供给的功率转换 为所需的频率和幅度的交流信号功率输出。振荡器一般由晶体管等有源器件和具 有各种选频能力的无源网络组成。 振荡器的种类很多,振荡器按组成原理来看,可分为反馈振荡器和复阻振荡 器,前者是利用正反馈

11、原理构成的;后者是利用复阻器件的复阻效应来产生振荡 的,不过反馈振荡器本质上也是一种复阻振荡器;根据选频网络所采用的器件可 以分为 lc 振荡器、rc 振荡器和晶体振荡器;根据产生振荡波形的不同,可分为 正弦波振荡器和非正弦波振荡器,本设计只讨论正弦波振荡器的有关内容。 振荡器在现代科学技术领域中有着广泛的应用,例如,在无线电通信、广播、 电视设备中用来产生所需的载波和本机振荡信号;发射机中正弦波振荡器提供指 定频率的载波信号,在接收机中作为混频所需的本地振荡信号或作为解调所需的 恢复载波信号;在自动控制及电子测量仪器中用来产生各种频段的正弦信号等。 对这些振荡器的主要要求是应有较高的振荡频率

12、和振荡幅度的准确性和稳定性, 其中频率的准确性和稳定度最为重要。 在 lc 振荡器决定振荡频率的 lc 回路中,使用电压控制电容器(变容器), 可以在一定的频率范围内构成电调谐振荡器。这种包含压控元件作为频率控制器 件的振荡器就称为压控振荡器。它广泛应用于频率调制器锁相环路及无线电发射 机和接收机中。 第 1 章 系统设计 1.1 引言 在通信技术、测量技术、计算机技术和石英钟表制作技术等领域,常常需要 精度高、频率稳定度高且方便可调的信号源,用常规的信号发生器无法满足这种 要求,因此,人们提出了频率合成器的方案。通过锁相环来控制压控振荡器,使 其能够产生高精度的频率可调的多个频率点。而频率合

13、成器中的程序分频器的分 频比可以使用微机进行控制,易于实现发射机频率的更换及其频率显示的程控和 遥控,促进全固态调频发射机的数字化、集成化和微机控制化。 1.1.1 设计要求 1.1.1.1 基本要求 (1) 振荡器输出为正弦波,波形无明显失真。 (2) 输出频率范围: =1535mhz。 0 f (3) 输出频率稳定度:优于。 3 10 (4) 输出电压峰-峰值: =1v0.1v。 pp v (5) 可实现输出频率步进,步进间隔为:1mhz100khz。 1.1.1.2 发挥部分 (1) 进一步扩大输出频率范围。 (2) 采用锁相环(pll)进一步提高输出频率的稳定度。 1.2 总体设计方案

14、总体设计方案 1.2.1 设计思路 题目要求设计一个电压控制的 lc 振荡器,振荡器的输出为正弦波。设计中 采用数字频率合成技术,利用锁相环(pll)的原理,使输出电压稳定在一固定频 率上。采用自动增益控制(agc)电路使输出电压幅值稳定在 1v0.1v。控制 部分通过 at89c51 改变锁相环的分频比,实现频率步进为 100khz1mhz 的两 种工作模式.从而实现设计要求。 1.2.2 电压控制 lc 振荡器(vco)设计方案论证与选择 方案一:电容三点式振荡器 电容三点式振荡器,由于它的集电极和基极电流可通过对谐波为低阻抗的电 容支路回到发射极,所以高次谐波的反馈减弱,输出的谐波分量减

15、小,使得输出 波形较好。比较适合较高频率的工作。采用电容三点式振荡器构成 vco,实现频 率的覆盖较为困难。如图 1.1 图 1.1 电容三点式振荡器 方案二:电感三点式振荡器 采用电感三点式振荡器构成 vco,电路压控性能较好,在调整振荡频率时, 基本不影响反馈系数,比较方便。但由于反馈支路为感性支路,对高次谐波呈现 高阻抗,故对于 lc 回路中的高次谐波反馈较强,波形失真较大。 方案三:集成电路振荡器 采用压控振荡器芯片 mc1648 和变容二极管 mv209,外接一个 lc 振荡回路 构成变容二极管压控振荡器。只需要调节变容二极管两端的电压,便可改变 mc1648 的输出频率。由于采用了

16、集成芯片,电路设计简单,系统可靠性高,并 且利用锁相环频率合成技术可以使输出频率稳定度进一步提高。详细电路图见单 元电路设计中。 综上所述,选择方案三利用压控振荡芯片 mc1648 和变容二极管 mv209,外 加一个 lc 并联谐振回路构成压控振荡器。 1.2.3 频率控制方式的设计方案论证与选择 设计要求振荡器的频率要用电压来控制,通过改变加在变容二极管两端的反 向偏压来改变管子的结电容,从而改变电路的振荡频率。只要我们能控制 vco 的输入电压,就可以控制振荡器的振荡频率。 我们有以下几种控制方案。 方案一:利用电位器分压电路。 通过改变电位器的分压比来改变变容管的反向偏压,从而改变振荡

17、器的振荡 频率。该电路的优点是电路结构简单,容易制作。但是电位器很难实现对频率的 精确控制,且电位器容易磨损,噪声大,受温度的影响也大。 方案二:利用 dac 芯片输出控制电压。 通过单片机输出数据经 d/a 器件转换成模拟电压控制振荡器的频率。此电路 控制的振荡器频率值的步进精度取决于 d/a 器件的转换精度。该电路的结构也比 较简单,频率调节是数码控制,可以大大减小噪声。在固定的 vco 输入电压上, 输出频率值是有一定的波动的,使得从 dac 输出的数据与实际输出的频率不能 一一对应。dac 的调节作用要经过单片机的运算处理,这样就有一个延时的过程, 导致频率的自动调整滞后。 方案三:采

18、用频率合成器 频率合成是指以一个或少量的高准确度和高稳定度的标准频率作为参考频率, 由此导出多个或大量的输出频率,这些输出频率的准确度和稳定度与参考频率是 一致的。用来产生这些频率的部件就称为频率合成器或频率踪合器,是利用锁相 环路的窄带跟踪特性,通过一个或多个标准频率产生大量的输出频率,他是通过 对标准的频率在频域进行加、减、乘、除来实现的,可以用混频、倍频和分频等 电路来实现。其优点是系统结构简单,输出频率成分的频谱纯度高,而且易于得 到大量的离散频率。 综上所述,选择方案三,采用频率合成器来构成频率控制部分 1.2.4 频率合成器的设计方案论证与选择 方案一:直接式频率合成器 采用直接式

19、频率合成技术,将一个或几个晶体振荡器产生的标准频率通过谐 波发生器产生一系列频率,然后再对这些频率进行倍频、分频或混频,获得大量 的离散频率。其组成框图如1.2所示。其合成方法大致可以分为两种基本类型: 一种是所谓非相关合成方法;另一种称为相关合成方法。这两种方法之间的主要 区别是所使用的参考频率源数目不同而已。直接式频率合成器频率稳定度高、频 率转换时间短、频率间隔小、分频率高。但系统中需用大量的混频器、滤波器等, 体积大,易产生过多杂散分量,而且成本高,安装调试都比较困难。 图 1.2 直接频率合成器组成框图 方案二:模拟锁相式频率合成器 采用模拟锁相式频率合成器技术,通过环路分频器降频,

20、将 vco 的频率降低, 与参考频率进行鉴相。优点是:可以得到任意小的频率间隔;鉴相器的工作频率 不高,频率变化范围不大,比较好做,带内带外噪声和锁定时间易于处理。不需 要昂贵的晶体滤波器,频率稳定度与参考晶振的频率稳定度相同。缺点是分辨率 的提高要通过增加循环次数来实现,电路超小型化和集成化比较复杂。 方案三:数字锁相环式频率合成器 采用数字锁相环式频率合成器技术,由晶振、鉴频/鉴相器(fd/pd) 、环路 滤波器(lpf) 、可变分频器(n) 、和压控振荡器(vco)组成。如图1.3 所示 为其组成框图。利用锁相环,将 vco 的输出频率锁定在所需频率上。可以很好 地选择所需频率信号,抑制

21、杂散分量,并且避免了大量的滤波器,采用大规模的 集成芯片,与前两种方案相比可以简化频率合成部分的设计,有利于集成化和小 型化。频率合成采用大规模集成 pll 芯片 mc145152;前置分频器选用芯片 mc12022,vco 选用 mc1648;环路滤波器采用运放 lm358 和 rc 电路组成, 即可完成锁相环路的设计。利用该方法设计简单,功能齐全,可靠性高,抗干扰 性强。 图 1.3 锁相环式频率合成器基本组成框图 综上所述,选择方案三中的采用大规模 pll 芯片 mc145152 和其他芯片构成 数字锁相环式频率合成器。 1.2.5 控制模块的设计方案论证与选择 方案一:利用 fpga

22、来控制,fpga 的运行速度快,资源丰富,使用方便灵 活,易于进行功能扩展。可提高系统的稳定度和抗干扰性。 方案二:利用单片机控制集成芯片 mc145152 的分频系数 a 和 n,以改变输 出频率的大小。由于本设计不要求显示,所采用单片机有 32 个 i/o 口,而 mc1451522 就需要 19 个 i/o 口,可实现控制,无需增加 i/o 口,电路简单灵 活方便,能较大限度的开发其资源,价格低廉。 综上所述,选择方案二更合理一些,资源不会那么浪费,电路简单,便于实 现。 1.2.6 稳幅电路的设计方案论证与选择 方案一:采用交流电压并联负反馈电路实现稳幅电路。在放大电路中引入交 流电压

23、并联负反馈。反馈网络由一个可变电阻组成,稳定输出电压。但引入交流 负反馈,因环境温度变化、电源电压波动等原因引起的放大倍数的变化都将减小, 是以牺牲放大倍数为代价的。 方案二:采用交流电压并联负反馈电路和自动增益控制(agc)电路一起实 现的稳幅电路。由于 vco 芯片 mc1648 内部有 agc 电路,因此在引入了交流电 压并联负反馈的基础上,输出电压再经过一个 agc 电路,在输入信号电平变化 时,用改变增益的办法维持输出信号电平基本不变。利用该方法可以进一步提高 输出电压的稳定度,保证在 1535mhz 的频率范围内,输出电压峰-峰值控制在 1v0.1v。 综上所述,选择方案二采用交流

24、电压负反馈电路和 agc 电路作为稳幅电路。 1.2.7 电源方案论证与选择 单片机的工作需要稳定的5v 直流电压,运放和三极管则需要12v 直流 电压,所以需对市电进行变压、整流、滤波、稳压,为系统提供这两种直流电压 。 方案一:采用变压器将 220v 交流电变换为 20v 交流电,再进行全波整流、 滤波,最后用三端稳压管 7812 和 7805 进行稳压,可分别得到12v,5v。 方案二:采用电源模块得到 20v 直流电压输出,再通过三端稳压集成芯片进 行稳压,得到两种输出电压。 方案一简单易行,且成本低,试验阶段我们采用方案一。 1.3 系统组成 经过方案比较与论证,最终确定的系统组成框

25、图如图 1.4 所示。其中 mc1648、mc145152、mc12022、低通滤波器和晶振构成了锁相环频率合成器, 利用单片机 89c51 控制电路和频率计,由于电路中既有数字电路又有高频电路, 需将高频电路用金属屏蔽罩隔离,以减小组合频率干扰,交叉调制干扰等,提高 输出信噪比。 图1.4系统组成框图 第 2 章 单元电路设计 2.1 压控振荡器和稳幅电路的设计 压控 lc 振荡器主要由压控振荡芯片 mc1648、变容二极管 mv209 以及 lc 振荡回路构成如图 2.1 所示。mc1648 需要外接一个由电感和电容组成的并联谐 振回路。为达到最佳工作性能,在工作频率要求并联谐振回路的10

26、0。电源 l q 采用+5v 的电压,一对串联变容二极管背靠背与该谐振回路相连,振荡器的输出 频率随加在变容二极管上的电压大小改变而改变。为提高输出电压的稳定度,保 证在 1535mhz 的频率范围内,输出电压峰-峰值控制在 1v0.1v,在引脚 5 引 入了 rx 和 c4。 图 2.1 为压控振荡电路图 压控振荡电路由芯片内部的 q8、q5、q4、q1、q7 和 q6,10 脚和 12 脚外 接 lc 谐振回路(含 mv209)组成正反馈(反相)的正弦振荡电路。其振荡 0 720 频率由式2-1计算。 式2-1 lc2 1 fc 其中: 式2-2 721 11 cccc dd 图 2.2

27、mc1648 内部电路图 voc 的芯片管脚 3 为缓冲输出,供前置分频器 mc12022。该芯片的 5 脚是 自动增益控制电路(agc)的反馈端。mc1648 芯片内部的 agc 电路(自动增 益控制电路)维持 vco 输出电压的稳定,使之不会因为频率的变化而变化,且 vco 输出的信号幅度基本与输出频率无关。同时也具有较宽的压控范围;调节 rx 使 vco 输出为无明显失真的正弦波。结合 mc1648 的内部电路图如图 2.2 所 示,可以得到:当输出电压高于 1v 时,二极管 d1 反偏,电压为负,使 q8 的基 极电压减小,集电极电压增大,这样 q7 的减小,电压放大倍数减小,使得输

28、be v 出电压减小,稳定在 1v0.1v,使得输出电压进一步稳定。 1out v 变容二极管是利 用 pn 结的结电容随反向电压变化这一特性之制成的一 种压控电抗元件 ,变容二极管的符号和结电容变化曲线如图2. 3 所示。 (a)符号 (b)结电容 -电压曲线 图 2.3 变容二极管 变容二极管结电容可表示为: 式2-3 其中 n 为变容指数 ,其值随半导体掺杂浓度和 pn 结的结构不同而变化 , (0)为外加电压 u=0 时的结电容值 ,ub为 pn 结的内部电位差 。 j c 变容二极管必须工作在反向偏压状态 ,所以工作时需加负的静态直流 偏压-uq。若交流控制电压 u 为正弦信号 ,变

29、容二极管上的电压为: 代入式(2-3),则有: 式2-4 其中静态结电容 结电容调制度 n b j j u u c c )1 ( )0( )cos()(tuuuuu qmqq n jq n qb jq tm c uu u c cj )cos1 ( )1 ( n b q j u u c )1 ( )0( cjq 1 qb m uu u m vco 产生的振荡频率范围和变容二极管的压容特性有关。图 2.4 为变容二极 管的测试图。可利用图中(a)所示的测量电路来测变容二极管 mv209 的压容特 性。 (b)为其压容特性和压控振荡器的压控特性示意图。从图中可见变容二极管 的反偏电压从变化,对应的输

30、出频率范围是。在预先给 mind v maxd v min f max f 定 l 的情况下,给变容二极管加不同的电压,测得对应的谐振频率,从而可以计 算出的值。减小谐振回路的电感感抗,改变电容容量,不需要并联二极管即可 d c 很容易地实现频率扩展,在实验中利用该方法用单管电感,绕 6 圈,曾使输出达 到 87mhz 以上,本设计中通过该方法使输出频率的范围扩展到 1535mhz。 (a)电容特性测量电路 (b)变容二极管压容特性及压控振荡器的压控特性 图 2.4 为变容二极管的测试图 2.2 锁相环式频率合成器的设计 2.2.1 锁相环(pll)技术的基本原理 一个基本的锁相环路主要由相位

31、比较器(pd) 、低通环路滤波器(lpf) 、压 控振荡器(vco)组成如图 2.5 所示。相位比较器有两个输入端,一路来自输出 端,一路来自基准信号源,通过比较和的相位差输出一个对应的电压。 v f r f r f v f d v 如果 fv 的相位超前于或是,输出一个负脉冲;如果的相位滞后于 r f v f r f d v v f 或是或的相位超前,则变为低电平 v f r f v f r f v 而仍为高;如果 a 的条件,所以当 a 值大于 63 时,a 值变为 a64。其中的参数计算规律在图 3.2 所示的参数计算流程图中列出。在程序设计中,不需要将每个变化都存入单片机 ,而是使用一

32、个变量,其值分别对应不同的步进取值为 20、36 或 8,选择档位 a f 不同,就取相应的值即可。可根据设定频率确定 a、n 值并送到 mc145152 中 a f 。 表 3-1 频率间隔 100khz、500khz、1mhz 时对应的 a、n 值例表(部分) 100khza 值n 值500khza 值n 值1mhza 值n 值 30.149430.5209531.05696 30.2249431.0569632.00100 30.3449431.5289833.08103 30.409532.0010034.016106 30.5209532.53610135.024109 30.640

33、9533.08103 30.7609533.544104 30.8169634.016106 30.9369634.552107 31.0569635.024109 31.1129735.560110 图 3.1 软件设计流程图 图 3.2 参数计算流程图 第 4 章 系统的性能指标 4.1 概论 一个振荡器除了它的输出信号要满足一定的频率和幅度外,还必须保证输出 信号频率和幅度的稳定,频率稳定度和幅度稳定度是振荡器两个重要的性能指标, 而频率稳定度尤为重要 4.2 系统性能指标 4.2.1 频率稳定 振荡器的频率稳定度是指由于外界条件的变化,引起振荡器的实际工作频率 偏离标称频率的逞度是振荡

34、器的一个很重要的指标。已知,振荡器一般是作为某 种信号源使用的,振荡频率的不稳定将有可能使设备和系统的性能恶化,如在通 信中所用的振荡器,频率的不稳定将有可能使所接受的信号部分甚至完全收不到, 另外还有可能干扰原来正常工作的邻近频道的信号。再如在数字设备中用到的定 时器都是以振荡器为信号源的,频率的不稳定会造成定时不稳等。 频率稳定度在数量上通常用频率偏差来表示。频率偏差是指振荡器的实际频 率和指定频率之间的偏差。它可分为绝对偏差和相对偏差。设为实际工作频率, 1 f 为标准频率,则绝对偏差为: 0 f 式 4-2-1-1 01 fff 因此频率稳定度可表示为: 式 4-2-1-2 0 01

35、1 f ff f f 通常测量频率准确度时要反复多次进行, 取多次测量中的最大值。 ff 越小,频率稳定度就越高。 根据所规定时间长短不同,频率稳定度有长期。短期和瞬时之分。 长期稳定度:一般指一天以上乃至几个月的时间间隔内振荡频率的相对变化 量,它主要取决于振荡器元器件的老化特性。 短期频率稳定度:一般指一天以内,以小时、分钟或秒计的时间间隔内振荡 频率的相对变化量,它主要决定于温度、电源电压等外界因素的变化。 瞬时频率稳定度:是指秒或毫秒时间间隔内振荡频率的相对变化量,这种频 率变化一般都具有随机性质。这些变化均由电路内部噪声或各种突发性干扰所引 起的。 通常所讲的频率稳定度一般指短期频率

36、稳定度。对振荡器频率稳定度的要求, 根据振荡器的用途不同而不同,例如,用于中波广播电台发射机的频率稳定度为 数量级,电视发射机的为数量级,普通信号发生器的为数量 5 10 7 10 53 1010 级,作为频率标准振荡器的则要求达到数量级。 98 1010 4.2.2 振幅稳定 振荡器在外界因素的影响下,输出电压将会发生波动。为了维持输出电压的 稳定,振荡器应具有自动稳幅性能,即当输出电压增大时,振荡器的环路增益 af 应自动减小,迫使输出电压下降,反之亦然。为了衡量振荡器稳幅性能的好坏, 常引用振幅稳定度这亦性能指标。它定义为:在规定的条件下,输出信号幅度的 相对变化量。如振荡器输出电压标称

37、值为,实际输出电压与标称值之差为, 0 uu 则振幅稳定度为。 0 /uu 由前面振荡器工作原理讨论可知,振荡器的稳幅性能是利用放大器件工作于 非线性区来实现的,把这种稳幅方法称为内稳幅。另外,在振荡电路中使放大器 保持为线性工作状态,而另外接入非线性环节进行稳幅,称为外稳幅。 内稳幅效果与晶体管的静态起始工作状态、自给偏压效应以及起振时 af 的 大小有关。静态工作点电流越小,起振时 af 越大,自给偏压效应越灵敏,稳幅 效果也就越好,但振荡波形的失真也会越大。 采用高稳定的直流稳压电源供电,减小负载与振荡器的耦合,也是提高输出 幅度稳定度的重要措施。 4.3 频率合成器 随着电子技术的高速

38、发展,要求信号的频率越来越准确和越来越稳定,一般 的振荡器已不能满足系统设计要求。晶体振荡器的高准确度和高稳定度早已被人 们认识,成为各种电子系统必选部件。但是晶体振荡器的频率变化范围很小,其 频率值不高,很难满足通信雷达测控仪器仪表等电子系统的需求,在这些应用领 域,往往需要在一个频率范围内提供一系列高准确度和高稳定度的频率源,这就 需要应用频率合成技术来满足这一需求。 频率合成是指以一个或少量的高准确度和高稳定度的标准频率作为参考频率, 由此导出多个或大量的输出频率,这些输出频率的准确度和稳定度与参考频率是 一致的。用来产生这些频率的部件就称为频率合成器或频率踪合器,是利用锁相 环路的窄带

39、跟踪特性,通过一个或多个标准频率产生大量的输出频率,他是通过 对标准的频率在频域进行加、减、乘、除来实现的,可以用混频、倍频和分频等 电路来实现。其优点是系统结构简单,输出频率成分的频谱纯度高,而且易于得 到大量的离散频率。 为了正确理解,使用与设计哦拉合成器,应对它提出合理的技术指标。频率 合成器的使用场合不同,对它的要求也不尽相同。大体上讲,有如下几项主要技 术指标:频率范围、频率间隔、准确度、频率稳定度、频普纯度(杂散输出和相 位噪声) 、频率转换时间及体积、重量、功能与成本等。指标的提高,频率合成 器的复杂程度和成本将增加。因此如何选择合理经济的频率合成器方案来满足技 术指标的要求,是

40、十分重要的。下面仅介绍一些基本的指标的含义。 (1) 频率范围 频率范围是指频率合成器输出的最低频率和最高频率之间的变化范 min0 f max0 f 围,也可用覆盖系数表示(又称之为波段系数) 。如果覆盖系数 min0max0 ffk k 时整个频段可以划分为几个分波段。在频率合成器中,分波段的振荡覆32k 盖系数一般取决于压控振荡器的特性。 要求频率合成器在指定的工作频率范围之内,所有指定的离散频率点上均能 正常工作,且均能满足其他性能指标。 (2) 频率间隔 频率合成器的输出时不连续的。两个相邻频率之间的最小间隔称为频率合成 器的频率间隔,又称为分辨率。不同用途的频率合成器,对频率间隔的

41、要求是不 相同的。例如,短波单边带通信的频率间隔一般为 100hz,有时为 10hz,1hz, 甚至 0.1hz。超短波通信则多取 50khz,有时也取 25khz、10khz 等。在一些测 量仪器中,其频率间隔可以达到 mhz 量级 (3) 频率转换时间 从一个工作频率转换到另一个工作频率并达到稳定工作所需要的时间称为频 率转换时间。这个时间包括电路的延迟时间和锁相环路的捕捉时间,其数值与合 成器的电路形式有关。 (4) 频率稳定度与准确度 频率稳定度是指在规定的观测时间间隔内,频率合成器输出频率偏离标称值 的程度。一般用偏离值与输出频率的相对值来表示。频率标准度则表示实际工作 频率与其标称

42、频率值之间的偏差,又称频率误差。这是频率合成器的两个重要的 指标,两者既有区别,也有联系。通常认为频率误差已包括频率不稳定的偏差之 内,因此一般只提频率稳定度。 (5) 频谱纯度 频率纯度是指输出信号接近正弦波的程度,可用输出端的有用信号电平与各 寄生频率分量总电平之比的分贝数来表示。 影响频率合成器品频谱纯度的因素主要有两个,一是相位噪声,二是寄生干 扰 相位噪声是瞬间频率稳定的频域表示,在频谱上呈现为主谱两边连续的噪声 如图 4.1 所示。相位噪声的大小可用频率轴上距主谱处的相位功率谱密度来表 0 f 示。相位噪声的是频率合成器质量的主要指标,锁相频率合成器相位噪声主要来 源于参考振荡器和

43、压控振荡器。此外,环路参数的设计对频率合成器的相位噪声 也有重要的影响。 寄生(又称为杂散)干扰的是非线性部分产生的,其中最严重的是混频器, 寄生干扰表现为一些离散的频谱如图 4.1 所示。混频器中混频比的选择以及滤波 器的性能对于寄生干扰的抑制是至关重要的。 图 4.1 频率合成器的频谱 4.4 集成锁相环路 集成锁相环路的发展十分迅速,应用十分广泛。目前集成锁相环路已形成系 列产品:由模拟电路构成的模拟锁相环路和由部分数字电路(主要是数字鉴相器) 或全部数字电路(数字鉴相器、数字滤波器、数控振荡器)构成的数字锁相环路 两大类。无论是模拟锁相环还是数字锁相环,按其用途可分为通用型和专用型两

44、种。通用型是一种适应各种用途的锁相环路,其内部主要由鉴相器和压控振荡器 两部分组成,有时还附有放大器和其他辅助电路,也有的用单独的集成鉴相器和 集成压控振荡器连接成锁相环路。专用型是一种专为某种功能设计的锁相环路, 例如,用于调频接收机中的调频多路立体声解调环路,用于通信和测量仪器中的 频率合成器,用于电视机中的正交色差信号同步检波环路等。 无论是模拟锁相环路还是数字数字锁相环路,其 vco 一般都采用射极耦合 多谐振荡器或积分施密特触发型多谐振荡器,采用射极耦合多谐振荡器的振荡 频率较高,而采用积分施密特触发器型多谐振荡器的振荡频率比较低。 在模拟锁相环路中,鉴相器基本上都采用双差分对模拟相

45、乘器的乘积型鉴相器, 而数字锁相器电路形式较多,它们都是由数字电路组成。本设计采用集成数字锁 相环来实现压控振荡器的控制。 锁相环路的基本特性: 1) 环路锁定后,没有频率误差。当锁相环路锁定时,压控振荡器的输出频率 严格等于输入信号频率,而只有不大的剩余相位误差。 2) 频率跟踪特性。锁相环路锁定时,压控振荡器的输出频率能在一定范围内 跟踪输入信号频率变化。 3) 窄带滤波特性。锁相环路通过环路滤波器的作用后具有窄带滤波特性。当 压控振荡器输出信号的频率锁定在输入信号频率上时,位于信号频率附近的频率 分量,通过鉴相器变成低频信号而平移到零频率附近,这样,环路滤波器的低通 作用对输入信号而言,

46、就相当于一个高频带通滤波器,只要把环路滤波器的通带 做得比较窄,整个环路就具有很窄的带通特性。例如,可以在几十兆赫的频率上, 做到几赫的带宽,甚至更小。 结论/展望 眼看三年的大学生涯即将结束,为了给自己大学生涯划上一个比较圆满的句 号,我抓住毕业设计这次宝贵的机会,在良师益友于老师的指导下,认认真真的 做毕业设计,希望把三年的所学都融入到这次毕业设计之中。现在我的毕业设计 已经接近尾声了。心中多多少少的不免有些激动,因为它在某一方面反映了我三 年的所学所得。 在近一学期的毕业设计中,我从中学到了很多以前不甚明白甚至不知道的东 西,从中体会到了很多无法从课堂上领会到的感受。 第一、全面的巩固并

47、提高了所学的专业知识。毕业设计不仅是对自己所学专 业知识的系统总结和提高,而且也是对自己综合能力的一次深入性的考验。我想 这一点是毕业设计的最重要的一个作用。通过这次毕业设计,我拾起了很多被遗 忘的知识,并借此机会把所有相关知识都比较系统的复习了一遍,使它们串在了 一起。由于知识比较系统了,所以对它们的理解也较以前深刻了,很多以前没有 搞明白的东西,现在都融会贯通了;很多以前没有解决的问题,现在都迎刃而解 了。 第二、丰富了自己的知识结构,充盈了自己的大脑。由于毕业课题牵涉到的 知识很多,并且很多知识是自己以前没有学过的,所以在毕业设计的过程中我不 得不自己查阅资料,学习一些新的知识。从而掌握

48、了一些未曾接触过的知识,并 把它用运用到了毕业设计中。真正体会到了学以致用的感觉。通过自己辛勤的努 力,了解和掌握了更多的专业知识,丰富了自己的知识结构,开阔了自己的视野, 也让自己意识到了自己所学的那一点东西是很少很少的,从而也激发了自己苛求 新知识的欲望 第三、锻炼了我们的创造性思维的能力,增强了创新意识和创新观念。毕业 设计是我们在学生时代,尤其是在专业上的一次最大规模的基础知识和创新能力 的综合应用。在两者中,创新能力表现的尤为重要。在设计过程中,我们从始至 终都一直在同方方面面的各种难题斗争,有专业知识方面的,也有属于常规性思 维方面的。通过这些努力,我们明显的感觉到自己在思维方面的

49、眼光放宽了,能 力也增强了。而且更重要的是,有一种观念和意识已经在我们的心中深深扎了根, 那就是“创新” 。它是智慧的源泉,发展的源动力。 第四、对自己在知识和能力方面的薄弱环节有了一个比较清楚的认识,有利 于全面的提高自己。毕业设计对我们毕业生来说是一次综合知识和综合能力的较 全面的检测。它就像一面镜子,真实而深刻的反映出我们自身存在的优点和缺点。 以便于以后取长补短,使之日趋完善,以便于我们自身在方方面面不断提高,日 益发展。 第五、锻炼了自己应用知识的能力和合作的意识。因为以前都是单一的从课 本上和课堂上获取知识,并未把它们应用到实际应用中。所以所学到的知识对自 己来说,充其量也仅仅是了

50、解和知道而已。由于没有应用它们,所以所学到的知 识只是一种死的东西,也不可能很好的理解它们。但是通过毕业设计,我逐渐学 会了怎样用自己所学得的知识去解决我们可能遇到的问题,真正让自己所学得的 知识有了用武之地。再者,通过毕业设计增强了我合作的意识,因为毕业设计虽 然主要靠自己的努力,但是毕竟是三个人共同的课题,所以三个人在一起就要相 互合作,弥补自己的不足。因为在现在的社会中,个人主义是没有市场的。要想 比较成功的完成一件事情,虽需要很强的个人能力,但更需要团体精神和合作的 意识。 至此,本设计已经顺利完成。首先,我感谢我的指导老师于圣学老师,在设 计的整个过程中,于老师给了我很大的支持与帮助

51、,耐心的指导和细心地讲解才 使我有今天的成绩,我本人在此期间也投入了很大的精力,力求做的更好,但限 于知识层次,能力水平,时间仓促和环境条件的制约,我们这次毕业设计肯定有 许多不尽人意之处。然而,毕业设计毕竟是学生时代的最后的一次大“作业” , 我想,它的价值并不在于设计本身的成功与否,而更重要的是参与设计过程中的 各种经历与体会,有了这些,我们就算成功一半了! 致致谢谢 经过这一个学期的学习与忙碌,我终于完成了我的毕业设计。通过这个过程, 我把三年来所学过的课程做了一次系统性的总结,从理论到实践都上升了一个新 的台阶。现在社会,知识更新的速度正在逐步加快,虽然我们就要离开自己深爱 着的校园,

52、但并不意味着我们学习的过程就要结束了,古人云:学无止境。步入 社会,我们只是换了一个新的学习环境而已。做毕业设计,也是为走出校门打下 更坚实的基础,我深信,我们的明天会更好。 我在做毕业设计的过程中,遇到了不少的困难,在这里我首先要感谢辅导我 们做毕业设计的于圣学于老师。他知识渊博,经验丰富,治学严谨。在学习方面 是我们的严师,在我们困惑不解的时候,是他给我们指明了思路;在我们学习失 去信心的时候,是他给我们鼓励和加油.这一学期来,我们从他那里不仅仅学到 了更多的知识,更是学到了不少做人的道理。 其次,我还要感谢我的同班同组的同学衡百英、杜顺利。三年来,我们在一 起学习生活,结下了深厚的同学情

53、谊,在此次毕业设计过程中,他们更是给了我 莫大的帮助。他们帮助我查找资料,当我遇到不解的问题时,我们在一起讨论问 题,他们建议往往给我带来很大的帮助。有时候,为了帮我弄明白一个知识点, 他们还牺牲掉自己的宝贵时间,陪我一起学习,他们就是我良师益友。 然后我还要感谢同宿舍的兄弟们,他们是王会杰、李国宁和袁志亮是他们给 我创造了一个良好的学习和生活环境,没有他们热心的帮助,我是不可能顺利做 完这次毕业设计的。三年来,我们一直共同生活在一间宿舍里,大家和睦相处, 互帮互助,就如亲兄弟一样,如今我们就要各奔东西了,祝大家一路顺风。 最后祝我所有的老师们都工作顺利,家庭幸福,生活美满,祝我所有的同学 兄

54、弟姐妹们在未来的日子里天天开心,事业有成。 参考文献 1 江晓安、董秀峰. 模拟电子技术. 西安科技大学出版社. 2002,年第 2 版(230 页249 页) 2 胡宴如. 高频电子技术. 高等教育出版社. 2004 年,第 3 版(62 页192 页) 3 曾兴雯. 高频电子线路. 高等教育出版社.2004 年,第 1 版(330 页360 页) 4黄智伟. 全国大学生电子设计竞赛训练教程. 电子工业出版社.2005 年,第 2 版(302 页319 页) 5. 张厥胜 张会宁 邢静. 锁相环频率合成器. 电子工业出版社. 1997 年,第 1 版(77 页79 页,154 页159 页)

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论