




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、 实验四 交通灯控制器设计一、实验目的二、设计要求完成设计、仿真、调试、下载、硬件测试等环节,在型 eda 实验装置上实现一个由一条主干道和一条乡间公路的汇合点形成的十字交叉路口的交通灯控制器功能,具体要求如下:1、有 mr (主红)、my (主黄)、mg (主绿)、cr (乡红)、cy (乡黄)、cg (乡绿)六盏交通灯需要控制;3、乡间公路右侧各埋有一个串连传感器,当有车辆准备通过乡间公路时,发出请求信号 s=1 ,其余时间 s=0 ;4、平时系统停留在主干道通行(mgcr )状态,一旦 s 信号有效,经主道黄灯 4秒(mycr )状态后转入乡间公路通行(mrcg )状态,但要保证主干道通
2、行大于一分钟后才能转换;5、一旦 s 信号消失,系统脱离 mrcg 状态,即经乡道黄灯 4 秒(mrcy )状态进入 mgcr 状态,即使 s 信号一直有效,mrcg 状态也不得长于 20 秒钟;6、控制对象除红绿灯之外,还包括分别在主干道和乡间公路各有一个两位十进制倒计时数码管显示。四、实验思路1、设计一个状态寄存器,控制六盏灯的亮与灭 3、设计一个译码显示电路,将计时器的八位 bcd 码转化为数码管可以显示的段位码。通过动态扫描电路实现。4、设计一个分频器,将扫描频率分频为基准频率,提供计时器,状态器,译码显示的钟控。1、建立工作库文件夹和编辑设计文件1)建立一个文件夹保存工程文件;2)打
3、开 quartusii,建立新的 vhdl 文件,再打开的页面下输入以下程序控制 6 盏灯的模块代码:library ieee;architecture behav of jtd iswhen mycr=if count=00000001 thenstate=mrcg;mr=1;cg=1;mg=0;my=0;cr=0;cy=0;else state=mycr;my=1;cr=1;mg=0;mr=0;cg=0;cy=0;end if; end case;end if;end process;end behav;控制数码管显示倒计时数并且输出:library ieee;port(clk,s:in
4、std_logic;tim:out std_logic_vector(7 downto 0);variable b:std_logic:=0;variable a:std_logic:=0;variable th,tl:std_logic_vector(3 downto 0);-if reset=1 then state if a=0 thenth:=0000;tl:=0100;a:=1;else3 if not(th=0000 and tl=0010) thenelse th:=0000;tl:=0001;a:=0;stateif s=1 thenif a=0 thenth:=0010;tl
5、:=0000;a:=1;elseelse th:=0000;tl:=0001;a:=0;state=mrcy;end if;else a:=0;stateif a=0 thenth:=0000;tl:=0100;a:=1;elseelse th:=0000;tl:=0001;a:=0;state=mgcr;end if;end case;tim = th & tl;动态译码显示电路library ieee;port(clk:in std_logic;inpo:in std_logic_vector(7 downto 0);sl0,sl1,sl2:buffer std_logic;sel:out
6、 std_logic_vector(7 downto 0);sg:out std_logic_vector(7 downto 0);gw,sw: buffer std_logic_vector(3 downto 0);4 signal cnt8: std_logic_vector(2 downto 0);else cnt80);end if;sel(2) sl2=0;sl1=1;sl0=0;a sl2=0;sl1=0;sl0=1;anull;end case;end process ;p4:process(a)begincase a isend case;end process;end beh
7、av;library ieee;architecture one of fen is5 beginif fullevent and full = 1 thencnt2 := not cnt2; -如果溢出标志信号 full 为高电平,d 触发器输出取反if cnt2 = 1 then fout = 1; else fout = 0;end if;end if;end process p_div ;end;2、编译过程jtdclkmgmymrcgcycrresetsinst16 4)控制分频的模块4、建立新的工程,将各个模块的元器件用原理图连接,进行编译。原理图如下:5、系统仿真1)建立新的波形
8、激励文件7 当没有完成模 60 计数,即使 s=1,状态也不发生改变当 s 一旦为 0,乡道立刻进入黄灯状态,并持续四秒8 6、引脚设定六、实验现象及验证1、当“电平1”不按时(s 为 0),数码管一直保持 60 秒倒计时,计数结束后数码管保9 持 000001 状态,led 灯保持 001100;2、当按下“电平1”(s 为 1),数码管倒计时4 秒,led 灯 011100;随后倒计时 20 秒,led 灯 100001,此后倒计时 4 秒,led 灯 001011;最后进入倒计时 60 秒;3、当倒计时 20 秒时,若灭掉“电平 1”(s 变为 0),数码管倒计时 4 秒,led 灯 001011;最后进入倒计时 60 秒。(6 个 led 分别对应主红黄绿、乡红黄绿)七、实验心得1、学会了模可变倒计时计数器,熟悉了 if not语句,这次试验用了四个模块,总体思路比较清晰,明白了主绿乡红、主黄乡红、主红乡绿、主红乡黄4个状态之间的循环。2
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 拓宽项目管理考试视野的学习方式试题及答案
- 金岳霖课题申报书
- 课题预算申报书范本
- 证券从业资格证特殊证券知识试题及答案
- 土建科技课题申报书
- 人教版七年级音乐下册(简谱)第5单元《桑塔·露琪亚》教学设计
- 2025年中国微型收割机市场调查研究报告
- 注册会计师团队作业实务试题及答案
- 系统规划2025年证券从业资格证考试备考试题及答案
- 2025年财务行业的创新与实践探索试题及答案
- GB/T 14713-2009旋切机通用技术条件
- 低成本自动化的开展与案例课件
- 不予受理反诉民事上诉状(标准版)
- 高中英语语法之虚拟语气(课件3份)
- 粤教版2022年小学六年级科学下册期中测试试卷及答案2022-2023
- 北师大六年级下册数学第三单元《图形的运动》教学设计
- 国际石油合作主要合同模式课件
- 桥梁加固改造工程施工质量管理体系与措施
- 第二十六章慢性肾小球肾炎演示文稿
- 设施设备维修记录表
- 自动化设备检修规程
评论
0/150
提交评论