程控滤波器实验报告_第1页
程控滤波器实验报告_第2页
程控滤波器实验报告_第3页
程控滤波器实验报告_第4页
程控滤波器实验报告_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、程控滤波器的设计(d题)摘要 设计的程控滤波器主要由信号放大电路、开关电容滤波电路、单片机控制电路和液晶显示电路等组成。用at89s51单片机对开关电容滤波器mf10进行控制,从而对输入正弦波信号进行低通、高通滤波处理。利用dds技术为mf10提供外部时钟,有效地控制滤波电路的截止频率。测试表明该电路具有满足竞赛设计要求。关键词 单片机,开关电容滤波器,直接数字频率合成,液晶显示 目录1. 系统设计.32. 单元电路设计53. 软件设计84. 系统测试95. 结束语11参考文献.11附录1 单片机控制电路图.11附录2 元器件明细表12附录3 程序清单 131. 系统设计1.1设计要求 1.1

2、.1基本要求(1)放大器输入正弦信号电压振幅为10mv,电压增益为40db,增益10db步进可调,通频带为100hz40khz,放大器输出电压无明显失真。(2)滤波器可设置为低通滤波器,其-3db截止频率fc在1khz20khz范围内可调,调节的频率步进为1khz,2fc处放大器与滤波器的总电压增益不大于30db, rl=1kw。(3)滤波器可设置为高通滤波器,其-3db截止频率fc在1khz20khz范围内可调,调节的频率步进为1khz,0.5fc处放大器与滤波器的总电压增益不大于30db, rl=1kw。(4)电压增益与截止频率的误差均不大于10%。(5)有设置参数显示功能。1.1.2 发

3、挥部分(1)放大器电压增益为60db,输入信号电压振幅为10mv;增益10db步进可调,电压增益误差不大于5%。(2)制作一个四阶椭圆型低通滤波器,带内起伏1db,-3db通带为50khz,要求放大器与低通滤波器在200khz处的总电压增益小于5db,-3db通带误差不大于5%。(3)制作一个简易幅频特性测试仪,其扫频输出信号的频率变化范围是100hz200khz,频率步进10khz。(4)其他。1.2方案比较 1.2.1 放大电路方案方案一 基于运放构成的前置放大电路方案利用运放构成同相比例放大电路或差动放大电路,模拟多路开关选择不同的电阻作为反馈电阻,即可得到不同电压增益的放大电路。可以采

4、用高速运放op27,op27增益带宽可达到8m,可满足设计要求,但该方案性能指标不高,电路复杂,可靠性较差。方案二 基于仪用放大器ina128的方案 ina128是仪用运放,输入阻抗大,可变增益,对小信号放大效果好。采用ina128作为放大器核心元件,使系统具有低噪音、低漂移和高信噪比等优点,而且体积小,使用方便。为得到6种不同电压增益,利用单片机控制模拟多路开关选择不同的电阻接入ina128,即可达到要求。由于仪用放大器ina128对小信号的放大作用上优良的性能,且电路简单,可靠性高。故本设计采用方案二作为小信号的前置放大电路。 1.2.2 滤波电路方案方案一 设计有源滤波电路根据设计要求低

5、通/高通电路增益在阻带内衰减较快,故一阶低通滤波电路不满足要求,应设计二阶低通电路。使过渡带窄且陡峭,从而达到设计要求。因截止频率范围设计要求在1k20k,且步进值为1k,需要20组电阻提供选择,故同样要用单片机控制多路模拟开关来选择某组电阻来得到相应的截止频率。该方案由于切换电阻多,使用较多的模拟开关,电路十分复杂,成本高且可靠性差。方案二 选用开关电容滤波器美国natlsemi公司mf10开关电容滤波器,它是利用外接时钟频率来控制开关电容等效电阻, 达到实现调节滤波器中心频率的目的,从而任意地控制低通/高通滤波截止频率。本设计外部时钟由直接数字频率合成技术(direct digital s

6、ynthesis, dds)产生开关电容滤波器的时钟脉冲,利用dds芯片ad7008产生不同频率的正弦波输入mf10,即可控制产生不同截止频率的低通电路。方案一远远没有方案二简单,且方案二成本低和可靠性高。故采用方案二。1.2.3 四阶椭圆低通滤波设计方案椭圆滤波器与巴特沃斯滤波器相比,椭圆滤波器虽然相频特性较差,但其给出的设计阶数比前两种低,而且频率特性较好,过渡带较窄。 四阶椭圆低通滤波电路设计简单,直接设计无源滤波器,由电阻器,电容,电感搭建。电路图见图1-1。图1-1四阶椭圆低通滤波电路图1.3 系统总体设计 系统框图如图1-2所示。低通滤波输出高通滤波输出时钟放大电路cd405180

7、51单片机mf10dds示波器显示lcd显示键盘图1-2 系统总体框图 单片机根据键盘输入的参数,控制多路模拟开关cd4051对小信号进行相应的放大, dds产生时钟脉冲控制开关电容滤波器mf10的滤波频率,并将相关参数在lcd上进行显示。输出信号可以在示波器上显示,观察放大、滤波效果。此方案由于选用了开关电容滤波器和直接数字频率合成技术,,设计的程控滤波性能优越,电路简单,可靠性高。而且结合了dds技术,可以得到很高的低通和高通截止频率,远远满足设计要求。 2. 单元电路设计 2.1 dds产生时钟脉冲 本设计中由于开关电容滤波器要求时钟脉冲来控制滤波频率,且时钟脉冲频率较高(1mhz)调节

8、档位较多(20档),单片机或8253定时器较难实现。可由dds技术产生。dds目前以其频率分辨率高、转换速度快、体积小、性价比高等优点而广泛的应用于各类电子设备中。dds技术是一种把一系列数字形式的信号通过dac转换成模拟形式的信号的合成技术。利用dds可方便地产生任意频率的正弦波。本设计中应用dds技术的主要目的在于为mf10开关电容滤波器提供各种时钟,后面滤波电路设计部分会详细加以介绍。2.2 放大电路的设计程控放大电路主要采用美国ad公司的单片测量放大器ina128,通过单片机控制多路模拟转换器cd4051 的地址 a,b,c 以选择不同的通道(以选择不同的r阻值 ), 达到程控放大的目

9、的。选择不同的阻值会有不同的电压增益,如表2-1所示.表2-1 放大多路电阻参数表电阻23.15k5.56k1.63k0.505k0.159k0.05k增益10db20 db30 db40 db50 db60 db放大倍数3.161031.61003161000程控放大原理图见图2-1图2-1程控放大原理图2.3滤波电路的设计滤波电路选用美国natlsemi公司mf10开关电容滤波器, 它是利用外接时钟频率来控制开关电容等效电阻, 达到实现调节滤波器中心频率的目的, 其中心频率与外接钟频成线性关系。1个mf10芯片是由2个独立的通用的cmos有源滤波器结构单片组成。每个结构单元与一个外接时钟和

10、若干电阻一起就可实现任何标准滤波器特性。本电路是2片mf10 芯片设计成8 阶巴特沃斯低通滤波器。mf10需要外接时钟频率来控制开关电容等效电阻,即控制滤波电路的截止频率。无论设计低通还是高通电路,都要求截止频率可调,且步进值为1k,由于利用8253定时器分频不能得到精确的不同频率的时钟,故利用dds技术来实现。使用dds芯片ad7008,向其内部存储器写入不同的频率控制字,从而可产生不同频率的正弦波,作为mf10的外部时钟。与连续有源滤波器相比,开关电容滤波器可以提供较稳定的中心频率。为避免出现混叠现象,时钟信号的频率为滤波器的中心频率的50倍或100倍,本设计中采用50倍。滤波电路硬件设计

11、电路原理如图2-2。1脚为低通输出,2脚为带通输出,3脚为高通输出,从10脚和11脚输入外部时钟。 图2-2 mf10的外接电路图通过分析计算,我们令r1=10k,r2=r3=30k,r4=15k,c=47uf。对于低通滤波电路: (1) (2), (3) fclk25 fc (4)对于不同的截止频率,应输入相应频率的外部时钟,如表2-2所示。表2-2 低通滤波频率与时钟频率对照表fc1k2k3k4k5k6k7k8k9k10kfclk25k50k75k100k125k150k175k200k225k250kfc11k12k13k14k15k16k17k18k19k20kfclk275k300k

12、325k350k375k400k425k450k475k500k 参考表2-2,通过dds产生频率fclk的各种正弦波形,作为开关电容滤波器的外部时钟,通过控制即可从mf10输出截止频率各不相同的低通滤波后信号。 对于高通滤波电路: (5)=,fclk50fc。 (6)故对于不同的截止频率,输入的相应外部时钟频率如表2-3所示。表2-3 高通滤波频率与时钟频率对照表fc1k2k3k4k5k6k7k8k9k10kfclk50k100k150k200k250k300k350k400k450k500kfc11k12k13k14k15k16k17k18k19k20kfclk550k600k650k70

13、0k750k800k850k900k950k1m同样通过dds产生频率fclk的各种正弦波形,作为开关电容滤波器的外部时钟,通过控制即可从mf10输出截止频率各不相同的高通滤波后信号。2.4 液晶显示电路设计本次设计选用的是液晶显示器12864a2,它是由点阵lcd和行驱动、led背光、负电压电路及分压电路组成的一种能完整显示128列64行的用户终端,可以显示84个1616点阵汉字。图2-5为本次设计中液晶显示器与片单机的连接图。图2-5液晶显示器与片单机的连接图采用8051单片机,8051是rom型单片机,内含4kb掩摸rom存储器,使用时无需外接程序存储器。8051的p2口输数据给液晶显示

14、。2.5 键盘电路设计 共设计了六个键,按键一和二控制放大电路的增益,分别为步进加和步进减10 db。按键三和四控制低通滤波的截止频率,分别为步进加和步进减10khz。按键三和四控制高通滤波的截止频率,分别为步进加和步进减10khz。键盘与单片机的硬件连接见图2-5。图2-5键盘与单片机的硬件连接图3 软件设计 图3-1为完整的软件设计流程图。4. 系统测试4.1 测试仪器 美国tektronixtps2024示波器,信号发生器,万用表。4.2 放大器部分测试 输入信号:10mv 1khz表4-1信号输出与理论增益的对照理论增益0 db10 db20 db30 db40 db50 db60 d

15、b输出信号(mv)10.829.611031061019315498754.3 放大低通部分测试输入信号:10mv 100hz40khz。输入信号经放大、低通滤波后得到的输出电压值在表4-2可见,2fc时放大低通滤波总电路的总增益同样见表4-2。开始 初始化液晶显示为“增益:0 db低通fc:10khz” 显示扫描有键按下n取键并处理y增益步进加键增益步进减低通fc步进加键低通fc步进减键高通fc步进加键增益步进加子程序增益步进减子程序低通fc步进加子程序高通fc步进减键低通fc步进减子程序高通fc步进加子程序高通fc步进减子程序图3-1软件设计流程图表4-2 信号处理后电压值及2fc处总增益

16、截止频率fc(khz)2fc (khz)放大器增益(db)实测输出电压(v)2fc时总增益(db)12401.043024400.9963036401.023048401.0030510400.99930612400.99630714401.0330816401.0230918400.998301020400.997301122401.01301224401.05301326401.00301428400.995301530400.999301632401.08301734401.06301836401.01301938401.00302040401.03304.4 放大高通部分测试输入信号:

17、10mv 100hz40khz。输入信号经放大、高通滤波后得到的输出电压值在表4-3可见,0.5fc时放大低通滤波总电路的总增益同样在表4-3中可见表4-3 信号处理后电压值及2fc处总增益截止频率fc(khz)0.5fc(khz)放大器增益(db)实测输出电压(v)0.5fc时总增益(db)12401.023024401.023036401.043048400.98630510400.99330612400.99930714400.98730816401.0430918401.03301020400.93301122400.997301224401.05301326400.984301428

18、400.996301530400.996301632401.05301734401.03301836400.987301938401.05302040401.04305结束语 本文设计的程控滤波器经过焊接、调试,完全实现了设计要求。能够较准确的完成输入信号的放大、滤波,得到所需的波形。 主要特色: 使用了仪用运放ina128, 使系统具有低噪音、低漂移和高信噪比等优点, 对小信号放大效果好。 使用了开关电容滤波器mf10,通过输入不同的时钟频率来控制滤波频率和q值,可方便的产生多种滤波效果。使用了dds技术,能产生各种频率的正弦波为mf10提供各种频率的脉冲信号。参考文献1. 黄智伟全国大学生

19、电子设计竞赛训练教程电子工业出版社2006年2 刘瑞新.单片机原理及应用教程.北京:机械工业出版社,2003.73 求是科技编注.单片机典型外围器件及应用实例.北京:人民邮电出版社,2006.24 徐科军,李国丽.电气测试基础.北京:机械工业出版社.2003.45 田良.综合电子设计与实验.南京:东南大学出版社.2002.36 周荷琴,吴秀琴.微型计算机原理与接口技术.合肥:中国科学技术大学出版社.2004.127 全国大学生电子设计竞赛组委会. 全国大学生电子设计竞赛获奖作品汇编:第一第五届 北京:北京理工大学出版社,2004.88 童诗白,华成英.模拟电子技术基础.北京:高等教育出版社,2

20、001.39 康华光.电子技术基础:模拟部分.北京:高等教育出版社,1999.6.附录1 电路板图 单片机控制原理图如图6图6 单片机控制原理图附录2 元器件清单: (1)单片机 at89s51-24pc-0609 一片; (2)8255 d8255ac-2 一片;(3)dds芯片 ad7008jp50-9846 一片;(4)高速运放 ina128 、op27 各一片;(5)模拟多路开关 hcf4051be 一片;(6)开关电容滤波器 mf10ccn 一片;(7)电阻器 500,1k,5k等电阻器若干;(8)电位器 101,102,104等电位器若干;(9)电容器 50nf,100nf,100

21、pf,40uf等电容若干;(10)电感器 209uh,1uh等电感器若干。(11)美国tektronixtps2024,示波器信号发生器,万用表 各一个 附录3程序清单:;20h,21h,22h,41h,42h,43h,44h;已用;液晶控制字数据口定义 rs bit p1.5 rw bit p1.6 e bit p1.7 lcd_out equ p2 com equ 4eh ;指令寄存器 dat equ 4fh ddsl0 equ 41h ddsl1 equ 42h ddsh0 equ 43h ddsh1 equ 44h switch equ 45h;dds res equ p1.0 loa

22、d equ p1.1 wre equ p1.3;org 0000h ajmp mainorg 0030hmain: mov 20h,#00h mov 21h,#01h mov 22h,#01h mov 45h,#86h mov 44h,#00h mov 43h,#20h mov 42h,#0c4h mov 41h,#9ah mov com,#30h ;功能设定 八位 基本指令 lcall w_com lcall clr_lcd mov com,#0ch ;显示状态开 lcall w_com mov com,#88h lcall w_com mov dptr,#line4 lcall disp_

23、lcdh lcall delay3 lcall delay3 lcall delay3 lcall clr_lcd mov com,#80h lcall w_com mov dptr,#line1 lcall disp_lcdh lcall disp_lcdz mov com,#90h lcall w_com mov dptr,#line2 lcall disp_lcdh lcall disp_lcdp lcall delay1 lcall delay1 lcall delay1 lcall delay1 mov dptr,#3fffh ;8255初始化 mov a,#81h movx dpt

24、r,a mov dptr,#3ffch mov a,#86h movx dptr,adds: mov r0,#0ffhdel1:djnz r0,del1 setb res clr res mov r0,#0ffhdel2:djnz r0,del2ftw: mov dptr,#3ffdh mov a,44h movx dptr,a clr wre setb wre mov a,43h movx dptr,a clr wre setb wre mov a,42h movx dptr,a clr wre setb wre mov a,41h movx dptr,a clr wre setb wre

25、setb load clr load mov r0,#0ffhdel3:mov r1,#0ffhdl: djnz r1,dl djnz r0,del3 lcall delay1;lk1: mov dptr,#3ffeh mov a,#00h movx dptr,a jb p3.2,lk1 lcall delay jb p3.2,lk1 ;测键程序lk4:mov r6,#0efh mov r7,#00h mov r5,#00hlk3:mov a, r6. movx dptr,a movx a,dptr anl a, #0fh xrl a,#0fh jnz lk2 mov a,r6 rl a mo

26、v r6,a xch a,r5 add a,#04h xch a,r5 ajmp lk3lk2:clr c rrc a jz j1 rrc a jz j2 rrc a jz j3 rrc a jz j4j1: mov r7,#01h ajmp keyj2: mov r7,#02h ajmp keyj3: mov r7,#03h ajmp keyj4: mov r7,#04h ajmp keykey: mov a,r7 add a,r5 dec a mov b,#02h mul ab mov dptr, #tab jmp a+dptr; tab: ajmp key0 ajmp key1 ajmp

27、 key2 ajmp key3 ajmp key4 ajmp key5 ajmp key6 ajmp key7 ajmp key8 ajmp key9 ajmp key10 ajmp key11 ajmp key12 ajmp key13 ajmp key14 ajmp key15;key0: lcall delay1 mov com,#80h lcall w_com mov dptr,#line1 lcall disp_lcdh mov a,20h cjne a,#3ch,kzero mov 20h,#00h lcall disp_lcdz mov a,20h mov b,#0ah div

28、ab mov dptr,#tabw movc a,a+dptr mov 45h,a mov dptr,#3fffh ;8255初始化 mov a,#81h movx dptr,a mov dptr,#3ffch ;给dds控制字置电平 mov a,45h movx dptr,a jmp lk1kzero: add a,#0ah mov 20h,a lcall disp_lcdz mov a,20h mov b,#0ah div ab mov dptr,#tabw movc a,a+dptr mov 45h,a mov dptr,#3fffh ;8255初始化 mov a,#81h movx d

29、ptr,a mov dptr,#3ffch ;给dds控制字置电平 mov a,45h movx dptr,a jmp lk1key1: lcall delay1 mov com,#80h lcall w_com mov dptr,#line1 lcall disp_lcdh mov a,20h cjne a,#00h,kone mov 20h,#3ch lcall disp_lcdz mov a,20h mov b,#0ah div ab mov dptr,#tabw movc a,a+dptr mov 45h,a mov dptr,#3fffh ;8255初始化 mov a,#81h mo

30、vx dptr,a mov dptr,#3ffch ;给dds控制字置电平 mov a,45h movx dptr,a jmp lk1kone: subb a,#0ah mov 20h,a lcall disp_lcdz mov a,20h mov b,#0ah div ab mov dptr,#tabw movc a,a+dptr mov 45h,a mov dptr,#3fffh ;8255初始化 mov a,#81h movx dptr,a mov dptr,#3ffch ;给dds控制字置电平 mov a,45h movx dptr,a jmp lk1key2: lcall delay

31、2 mov com,#90h lcall w_com mov dptr,#line2 lcall disp_lcdh mov a,21h cjne a,#14h,ktwo mov 21h,#01h lcall disp_lcdp mov dptr,#dtabh1 mov a,21h movc a,a+dptr mov ddsh1,a mov dptr,#dtabh0 mov a,21h movc a,a+dptr mov ddsh0,a mov dptr,#dtabl1 mov a,21h movc a,a+dptr mov ddsl1,a mov dptr,#dtabl0 mov a,21h

32、 movc a,a+dptr mov ddsl0,a jmp ddsktwo: inc 21h lcall disp_lcdp mov dptr,#dtabh1 mov a,21h movc a,a+dptr mov ddsh1,a mov dptr,#dtabh0 mov a,21h movc a,a+dptr mov ddsh0,a mov dptr,#dtabl1 mov a,21h movc a,a+dptr mov ddsl1,a mov dptr,#dtabl0 mov a,21h movc a,a+dptr mov ddsl0,a jmp ddskey3: lcall delay

33、2 mov com,#90h lcall w_com mov dptr,#line2 lcall disp_lcdh mov a,21h cjne a,#01h,kthr mov 21h,#14h lcall disp_lcdp mov dptr,#dtabh1 mov a,21h movc a,a+dptr mov ddsh1,a mov dptr,#dtabh0 mov a,21h movc a,a+dptr mov ddsh0,a mov dptr,#dtabl1 mov a,21h movc a,a+dptr mov ddsl1,a mov dptr,#dtabl0 mov a,21h

34、 movc a,a+dptr mov ddsl0,a jmp ddskthr: dec 21h lcall disp_lcdp mov dptr,#dtabh1 mov a,21h movc a,a+dptr mov ddsh1,a mov dptr,#dtabh0 mov a,21h movc a,a+dptr mov ddsh0,a mov dptr,#dtabl1 mov a,21h movc a,a+dptr mov ddsl1,a mov dptr,#dtabl0 mov a,21h movc a,a+dptr mov ddsl0,a jmp ddskey4: lcall delay

35、2 mov com,#90h lcall w_com mov dptr,#line3 lcall disp_lcdh mov a,22h cjne a,#14h,kfour mov 22h,#01h lcall disp_lcdph mov dptr,#tabh1 mov a,22h movc a,a+dptr mov ddsh1,a mov dptr,#tabh0 mov a,22h movc a,a+dptr mov ddsh0,a mov dptr,#tabl1 mov a,22h movc a,a+dptr mov ddsl1,a mov dptr,#tabl0 mov a,22h m

36、ovc a,a+dptr mov ddsl0,a jmp ddskfour: inc 22h lcall disp_lcdph mov dptr,#tabh1 mov a,22h movc a,a+dptr mov ddsh1,a mov dptr,#tabh0 mov a,22h movc a,a+dptr mov ddsh0,a mov dptr,#tabl1 mov a,22h movc a,a+dptr mov ddsl1,a mov dptr,#tabl0 mov a,22h movc a,a+dptr mov ddsl0,a jmp ddskey5: lcall delay2 mo

37、v com,#90h lcall w_com mov dptr,#line3 lcall disp_lcdh mov a,22h cjne a,#01h,kfive mov 22h,#14h lcall disp_lcdph mov dptr,#tabh1 mov a,22h movc a,a+dptr mov ddsh1,a mov dptr,#tabh0 mov a,22h movc a,a+dptr mov ddsh0,a mov dptr,#tabl1 mov a,22h movc a,a+dptr mov ddsl1,a mov dptr,#tabl0 mov a,22h movc

38、a,a+dptr mov ddsl0,a jmp ddskfive: dec 22h lcall disp_lcdph mov dptr,#tabh1 mov a,22h movc a,a+dptr mov ddsh1,a mov dptr,#tabh0 mov a,22h movc a,a+dptr mov ddsh0,a mov dptr,#tabl1 mov a,22h movc a,a+dptr mov ddsl1,a mov dptr,#tabl0 mov a,22h movc a,a+dptr mov ddsl0,a jmp ddskey6: jmp lk1key7: jmp lk

39、1key8: jmp lk1key9: jmp lk1key10: jmp lk1key11: jmp lk1key12: jmp lk1key13: jmp lk1key14: jmp lk1key15: jmp lk1;clr_lcd:mov com,#01h ;清屏 lcall w_com ret;disp_lcdz:push acc mov com,#84h ;设lcd首地址 lcall w_com mov a,20h lcall to_bcd mov a,54h anl a,#0f0h swap a add a,#30h mov 41h,a cjne a,#30h,fir mov a,#20hfir: mov dat,alcall w_data mov a,54h anl a,#0fh add a,#30h mov dat,a lcall w_data mov dat,#64h lcall w_data mov dat,#42h lcall w_data pop a retdisp_lcdp: push a mov com,#094h lcall w_com mov a,21h lcall to_bcd mov a,54h anl a,#0f0h swap a add a,#30h cjne a,#30h,sec mov a,#20hsec:

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论