Modelsim简单教程_第1页
Modelsim简单教程_第2页
Modelsim简单教程_第3页
Modelsim简单教程_第4页
Modelsim简单教程_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、 Modelsim入门技术文档 单位:深圳大学EDA中心实验室 指导老师:徐渊 作者:陈战夫 时间:2010-1-7 说明:本文档作为EDA中心研究生modelsim入门用。分三个章节阐述。 1. modelsim中库的编译 1. 自己新建一个文件夹,如D:modelsimprocounter,将counter.v与counter_tb.v文件拷贝到该文件夹下。 2. 点击modelsim的图标,打开modelsim的界面窗口。 3. 选择File Change Directory,选择步骤1中的目录,点击OK。 4. 选择File New Li

2、brary。 5. 在library name与library physical name两栏中均填work.点击OK。(在transcript窗口中可看到vlib work vmap work work)。 6. 选择Compile Compile,出现如下窗口。同时选中counter.v与counter_tb.v两个文件。 7. 点击compile.再点击done. 8. 在library标签点击work库前面的“+”号,可看到counter.v与counter_tb.v均在其目录下。 9. 双击counter_tb.v可将该设计装载到本次工程库中。 10. 选择View Debug Wi

3、ndows wave.将弹出波形窗口。 11. 在workspace窗口下点击sim标签。 12. 右击counter_tb.v,在出现的菜单中选择Add Add to Wave。 13. 在transcript窗口中VSIM后敲入run 1000.则系统将仿真1000ns.我们可根据波形查看仿真结果。 14. 在workspace窗口中点击files标签,鼠标左键双击counter.v,打开counter.v文件。 15. 在第10行数字10旁鼠标左键点击一下,可出现一小红点,即断点。(再次右击断点处,在出现的菜单下选择 remove breakpoint 即可取消该断点的设置)。 16在t

4、ranscript窗口中敲restart,点击restart.系统将重新仿真。 17在在transcript窗口中敲run 1000,可查看结果。程序将在第10行处停下来。出现如下所示。 18. 点击,可实现单步调试。 19,重新设置断点,仿真,配合单步调试,直到达到自己想要的调试和仿真结果。 2. modelsim中工程的编译 1. 自己新建一个文件夹,如D:modelsimprocounter1,将counter.v与counter_tb.v文件拷贝到该文件夹下。 2.点击modelsim的图标,打开modelsim的界面窗口。 3. 选择File Change Directory,选择步

5、骤1中的目录。 4选择File New Project。 5.在project name中填test, Default Library Name中填work.点击OK。 6在出现的新窗口中点击 Add Existing File. 7.点击browse,选择D:modelsimprocounter1counter.v和D:modelsimprocounter1counter_tb.v.点击OK,点击 close. 8.在workspace窗口中点击project标签,在任意空白处右击选择Compile Compile All。 9.点击library中work旁的“+“符号,可看到 count

6、er,counter_tb. 在transcript窗口中出现如下字样。 10.双击couter_tb.v进行工程的加载,出现窗口如下。 11. 选择View Debug Windows wave.将弹出波形窗口。 12右击counter_tb.v,在出现的菜单中选择Add Add to Wave。 13. 在transcript窗口中VSIM后敲入run 1000.则系统将仿真1000ns.我们可根据波形查看仿真结果。 14.在transcript窗口中敲入quit sim,退出程序的仿真。 15. 在workspace窗口中点击project标签,在任意空白处右击选择Add to Proj

7、ect Folder. 16在Folder Name一栏中填入Design Files。点击OK。 可看到图形如下: 16. 在project标签中任意空白处再次右击选择Add to Project Folder. 17. 在Fold Name中敲入HDL,在Folder Location一栏中选择Design Files。点击OK。 18. 点击Design Files左边的“+“号,可看到文件夹HDL在文件夹 Design Files. 19. 同时选中counter.v与counter_tb.v,右击选择Properties. 20. 在Place In Folder中选择HDL,再点击

8、OK,则counter.v与counter_tb.v两个文件都移到了文件夹HDL中。 21. 在project标签中任意空白处右击选择Add to Project Simulation Configuration. 22. Simulation Configuration Name敲入counter,在Place in Folder一栏中选择HDL。 23. 在Resolution选择ps。 24. 点击verilog ,选择Enable Hazard Checking.再点击OK。 25. 双击counter Simulation Configuration,在transcript窗口中可看

9、到 26重复本章节中11步到13步骤。 26. 在transcript窗口中敲入quit sim,退出程序的仿真,敲入quit退出modelsim程序。 3. modelsim的自动编译和仿真 1.DO文件 1. 自己新建一个文件夹,如D:modelsimprocounter2,将counter.v与counter_tb.v文件拷贝到该文件夹下。 2.点击modelsim的图标,打开modelsim的界面窗口。 3. 选择File Change Directory,选择步骤1中的目录。 4选择File New Library。 5在library name与library physical n

10、ame两栏中均填work.点击OK。(在transcript窗口中可看到vlib work vmap work work)。 6选择Compile Compile,出现如下窗口。同时选中counter.v与counter_tb.v两个文件。 4.选择File New Source Do,创建一个DO文件。在窗口中敲入以下命令行: add wave count add wave clk add wave reset force -freeze clk 0 0, 1 50 ns -r 100 force reset 1 run 100 force reset 0 run 300 force res

11、et 1 run 400 force reset 0 run 200 4. 选择File Save As.文件名命名为sim.do,保存到步骤1中的目录。 5. 双击counter_tb.v,进行文件的装载。 6. 在在transcript窗口中敲入do sim.do,我们便可看到仿真结果。 7. 选择File Quit,退出modelsim. 2.脚本文件 1. 自己新建一个文件夹,如D:modelsimprocounter3,将counter.v与counter_tb.v以及stim.do文件拷贝到该文件夹下。 2.在电脑桌面选择开始所有程序附件命令提示符,进入DOS命令窗口。 3.分别先

12、后敲入命令d:回车,cd modelsimpro回车cd counter3,回车,进入步骤1中的目录。 4敲入vlib work。 5再敲入 vmap work work. 6再敲入vlog counter.v,对文件counter.v进行编译。 7打开一个文本编辑器(UE或记事本),在里面敲入以下命令: # list all signals in decimal format add list -decimal * # read in stimulus do stim.do # output results write list counter.lst # quit the simulati

13、on quit f 8保存到步骤1中的目录下,文件名命名为sim.do. 9在DOS命令窗口中敲以下命令: vsim -c -do sim.do counter -wlf counter.wlf 10.打开counter.lst,便可看到以下结果。 ns /counter/clk delta /counter/dout /counter/reset_n 0 +0 z 0 x 0 +1 z 0 0 50 +0 -1 0 0 100 +0 0 0 0 100 +1 0 * 0 150 +0 -1 * 1 200 +0 0 * 1 250 +0 -1 * 2 300 +0 0 * 2 350 +0

14、-1 * 3 400 +0 0 * 3 450 +0 -1 * 4 500 +0 0 * 4 550 +0 -1 * 5 600 +0 0 * 5 650 +0 -1 * 6 . 11.在DOS命令窗口中再敲入命令vsim -view counter.wlf。 12.右击counter,选择Add Add to Wave.便可看波形窗口中看到仿真波形。 13.选择选择File Quit,退出modelsim. 2.TCL语言 1. 自己新建一个文件夹,如D:modelsimprocounter4,将counter.v与counter_tb.v文件拷贝到该文件夹下。 2.新打开一个编辑器,在里面

15、敲入以下字符: proc add_wave_zoom stime num echo Bookmarking wave $num bookmark add wave bk$num expr $stime -50expr $stime+100 0 add button $num list bookmark goto wave bk$num add wave -r /* when clkevent and clk=1 echo Count is exa dout if exa dout=00100111 add_wave_zoom $now 1 elseif exa dout=01000111 add_wave_zoom $now 2 保存到步骤1中的目录下,并命名为add_bkmrk.do 3点击modelsim的图标,打开modelsim的界面窗口。 4. 选择File Change Directory,选择步骤1中的目录。 5. 选择File New Library。 6在library name

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论