EDA课程设计报告_数字秒表_第1页
EDA课程设计报告_数字秒表_第2页
EDA课程设计报告_数字秒表_第3页
EDA课程设计报告_数字秒表_第4页
EDA课程设计报告_数字秒表_第5页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、EDA课程设计报告一设计任务1.1 基本功能:a精度达到0.1秒。b有一个开始和暂停计时按键、一个加减计时按键、一个复位按键。1.2 其它功能:有倒计时功能1.3 其他要求:a系统时钟采用12 MHz有源晶振;b. 主芯片采用CPLD 器件,型号为ALTERA 的EPM7064SL-44;c采用数码管显示。二设计方案2.1 实现方法:a先根据所要实现的基本要求和基本功能,用protel2004软件画出其原理图;b画出PCB版(老师代做),设计程序并进行仿真,成功后将PCB板做出;c将所需器件购买齐全,即可进行焊接测试,注意由于焊接难度较大,焊接时一定要仔细认真;d. 将设计好的EDA程序,进行

2、编译仿真测试,成功后,下载到芯片上即可,观察是否为其所要求的功能,并进行调试,达到要求为止。2.2 电路原理图电路原理如图(一)所示:图(一)2.3 所需器件a.ALTERA 的EPM7064SL-44CPLD芯片一个b.有源晶振一个c.插针一排d.四位数码管一个e.四腿插槽一个f.C8550三极管四个g.按键三个h.220欧电阻10个i.1k电阻四个7个j.导线两根2.4 工作原理 将晶振分频,产生时钟信号,每次0.05秒,则每隔0.1秒产生时钟进位,将产生的信号通过数码管进行译码显示,进行动态扫描。根据几个按键按下与否判断是否暂停,倒计时,秒表显示。整个电路有芯片程序控制,外围按键间接控制

3、,数码显示,下载链接部分组成。三设计程序3.1 系统组成框图系统组成框图如图(二)所示:数字秒表计时控制电路控制状态机计时电路显示电路分频电路计数器六进制计数器扫描电路段译码器十进制计数器图(二)3.2 程序流程图程序流程图如图(三)所示:NN开始产生时钟信号复位键按下了吗YN暂停键按下了吗YN是正计时吗是倒计时吗秒表正计时秒表倒计时结束YY图(三)3.3 设计程序及主要部分说明 设计程序如附(一)所示:3.4 管脚分配管脚分配如表(一)所示:表(一)3.5 部分仿真图形部分仿真图如图(四)所示:图(四)四总结及心得1. 总结通过这次课程设计让我对EDA这门课有了更深刻的了解,对电路板的焊接有

4、了一定的经验。但是在制作的过程中困难重重现总结如下:1. 电路板部分管脚较为密集复杂,比较难以准确焊接,由于细心不够,我组曾经将芯片座的两根导线误短路,造成下载不成功,后经万用表仔细排查,找出原因,最终问题得以解决;2. 在程序编写时,先要在心中规划一个大概流程,然后编写,经过与其他同学多次合作修改最终编译而成,在如何编写程序方面收获颇多;2心得体会在这次的课程设计中不仅检验了我所学习的知识,也培养了我如何去把握一件事情,如何去做一件事情,又如何完成一件事情。在设计过程中,与同学分工设计,和同学们相互探讨,相互学习,相互监督。学会了合作,学会了运筹帷幄,学会了宽容,学会了理解,也学会了做人与处

5、世。课程设计是我们专业课程知识综合应用的实践训练,着是我们迈向社会,从事职业工作前一个必不少的过程”千里之行始于足下”,通过这次课程设计,我深深体会到这句千古名言的真正含义我今天认真的进行课程设计,学会脚踏实地迈开这一步,就是为明天能稳健地在社会大潮中奔跑打下坚实的基础这次EDA课程设计,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当程序编写调试成功时,心里特别的开心。但在编写时,有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程

6、序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在同学的帮助下,终于游逆而解,有点小小的成

7、就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学再次表示忠心的感谢! 附(一):设计程序及主要部分说明:module miao_clock(clk,key,dig,seg);/模块名miaoclockinput clk;/输入时钟input2:0 key;/输入按键output3:0dig;/数码管选择输出引脚output7:0 seg;/数码管段输出引脚reg7:0 seg_r;/定义数码管输出寄存器reg3:0 dig_r;/定义数

8、码管选择输出寄存器reg3:0 disp_dat;/定义显示数据寄存器reg17:0count;/定义计数寄存器reg11:0hour;/定义现在时刻寄存器reg sec,keyen1,keyen2;/定义标志位reg2:0dout1,dout2,dout3;/寄存器wire2:0key_done;/按键消抖输出assign dig = dig_r;/输出数码管选择assign seg = seg_r;/输出数码管译码结果/秒信号产生部分always (posedge clk) /定义clock上升沿触发begincount = count + 1b1;if(count = 18d)/0.05

9、S到了吗?begincount = 18d0;/计数器清零sec = sec;/置位秒标志endend/按键消抖处理部分assign key_done = (dout1 | dout2 | dout3);/按键消抖输出always (posedge count10)begindout1 = key;dout2 = dout1;dout3 = dout2;endalways (negedge key_done1)beginkeyen1 = keyen1;/将琴键开关转换为乒乓开关endalways (negedge key_done2)beginkeyen2 = keyen2;/将琴键开关转换为

10、乒乓开关end/数码管动态扫描显示部分always (posedge clk) /count14:13大约1ms改变一次begincase(count14:13)/选择扫描显示数据2d0:disp_dat = hour3:0;/0.1秒显示位2d1:disp_dat = 4ha;/-2d2:disp_dat = hour7:4;/秒个位显示位2d3:disp_dat = hour11:8;/秒十位显示位endcasecase(count14:13)/选择数码管显示位2d0:dig_r = 8b1110;/选择第一个数码管显示2d1:dig_r = 8b1101;/选择第二个数码管显示2d2:d

11、ig_r = 8b1011;/选择第三个数码管显示2d3:dig_r = 8b0111;/选择第四个数码管显示endcaseendalways (posedge clk)begincase(disp_dat)4h0:seg_r = 8hc0;/显示04h1:seg_r = 8hf9;/显示14h2:seg_r = 8ha4;/显示24h3:seg_r = 8hb0;/显示34h4:seg_r = 8h99;/显示44h5:seg_r = 8h92;/显示54h6:seg_r = 8h82;/显示64h7:seg_r = 8hf8;/显示74h8:seg_r = 8h80;/显示84h9:seg

12、_r = 8h90;/显示94ha:seg_r = 8hbf;/显示-default:seg_r = 8hff;/不显示endcase/if(count17:15= 3d1)&sec) /seg_r = 8hff;end/计时处理部分always (negedge sec or negedge key_done0)/计时处理beginif(!key_done0)/是清零键吗?beginhour = 12h000;/是,则清零endelse if(!keyen1)beginif(!keyen2)beginhour3:0 = hour3:0 + 1b1;/0.1秒加一if(hour3:0 = 4ha)beginhour3:0 = 4hf;hour7:4 = hour7:4 + 1b1;/秒的个位加一if(hour7:4 = 4ha)beginhour7:4 = 4hf;hour11:8 = hour11:8 + 1b1;/秒的十位加一 if(hour11:8 = 4ha) begin hour11:0 = 12hfff; end end endendelsebeginhour3:0 = hour3:0 - 1b1;/0.1秒减一if(hour3:

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论