计算机组成原理实验报告-单周期CPU的设计与实现_第1页
计算机组成原理实验报告-单周期CPU的设计与实现_第2页
计算机组成原理实验报告-单周期CPU的设计与实现_第3页
计算机组成原理实验报告-单周期CPU的设计与实现_第4页
计算机组成原理实验报告-单周期CPU的设计与实现_第5页
已阅读5页,还剩31页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、电子科技大学计算机科学与工程学院标 准 实 验 报 告(实验)课程名称: 计算机组成原理实验 电子科技大学教务处制表电 子 科 技 大 学实 验 报 告学生姓名: 郫县尼克杨 学 号: 66 指导教师:陈虹实验地点: 主楼A2-411 实验时间:12周-15周一、 实验室名称:主楼A2-411二、 实验项目名称:单周期CPU的设计与实现。三、 实验学时:8学时四、 实验原理:(一) 概述指令0指令1指令5指令2指令41个时钟周期Clock单周期(Single Cycle)CPU是指CPU从取出1条指令到执行完该指令只需1个时钟周期。一条指令的执行过程包括:取指令分析指令取操作数执行指令保存结果

2、。对于单周期CPU来说,这些执行步骤均在一个时钟周期内完成。(二) 单周期cpu总体电路本实验所设计的单周期CPU的总体电路结构如下。(三) MIPS指令格式化MIPS指令系统结构有MIPS-32和MIPS-64两种。本实验的MIPS指令选用MIPS-32。以下所说的MIPS指令均指MIPS-32。MIPS的指令格式为32位。下图给出MIPS指令的3种格式。263125212016151110650oprsrtrdsafuncR型指令263125212016150oprsrtimmediateI型指令2631250opaddressJ型指令本实验只选取了9条典型的MIPS指令来描述CPU逻辑电

3、路的设计方法。下图列出了本实验的所涉及到的9条MIPS指令。五、 实验目的1、掌握单周期CPU的工作原理、实现方法及其组成部件的原理和设计方法,如控制器、运算器等。2、认识和掌握指令与CPU的关系、指令的执行过程。3、熟练使用硬件描述语言Verilog、EDA工具软件进行软件设计与仿真,以培养学生的分析和设计CPU的能力。六、 实验内容(一)拟定本实验的指令系统,指令应包含R型指令、I型指令和J型指令,指令数为9条。(二)CPU各功能模块的设计与实现。(三)对设计的各个模块的仿真测试。(四)整个CPU的封装与测试。七、 实验器材(设备、元器件):(一)安装了Xilinx ISE Design

4、Suite 13.4的PC机一台(二)FPGA开发板:Anvyl Spartan6/XC6SLX45(三)计算机与FPGA开发板通过JTAG(Joint Test Action Group)接口连接,其连接方式如图所示。八、 实验步骤一个CPU主要由ALU(运算器)、控制器、寄存器堆、取指部件及其它基本功能部件等构成。在本实验中基本功能部件主要有:32位2选1多路选择器、5位2选1多路选择器、32位寄存器堆、ALU等。(一)新建工程(New Project)启动ISE Design Suite 13.4软件,然后选择菜单FileNew Project,弹出New Project Wizard对

5、话框,在对话框中输入工程名CPU,并指定工作路径D:Single_Cycle_CPU。(二)基本功能器件的设计与实现(1)多路选择器的设计与实现a.5位2选1多路选择器(MUX5_2_1)的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:MUX5_2_1,然后输入其实现代码:module MUX5_2_1(input 4:0 A,input 4:0 B,input Sel,output 4:0 O );assign O = Sel ? B : A;endmodule在ISE集成开发

6、环境中,对模块MUX5_2_1进行综合(Synthesize),综合结果如图所示:在ISE集成开发环境中,对模块MUX5_2_1进行仿真(Simulation)。输入如下测式代码: module MUX5_2_1_T;/ Inputsreg 4:0 A;reg 4:0 B;reg sel;/ Outputswire 4:0 C;/ Instantiate the Unit Under Test (UUT)MUX5_2_1 uut (.A(A), .B(B), .sel(sel), .C(C);initial begin/ Initialize InputsA = 0;B = 0;sel = 0

7、;/ Wait 100 ns for global reset to finish#100; A = 5b10100;B = 0;sel = 1;/ Wait 100 ns for global reset to finish#100; A = 1;B = 5b10000;sel = 0;/ Wait 100 ns for global reset to finish#100; A = 5b00000;B = 5b11000;sel = 1;/ Add stimulus hereendendmodule然后进行仿真,仿真结果如图所示:b.32位2选1多路选择器的设计与实现在ISE集成开发环境中

8、,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:MUX32_2_1,然后输入其实现代码:module MUX32_2_1(input 31:0A ,input 31:0B,input sel,output 31:0 O );assign O= sel?B:A;endmodule在ISE集成开发环境中,对模块MUX32_2_1进行综合(Synthesize),综合结果如图所示:在ISE集成开发环境中,对模块MUX32_2_1进行仿真(Simulation)。首先输入如下测式代码: module MUX32_2_1_

9、T;/ Inputsreg 31:0 A;reg 31:0 B;reg sel;/ Outputswire 31:0 O;/ Instantiate the Unit Under Test (UUT)MUX32_2_1 uut (.A(A), .B(B), .sel(sel), .O(O);initial beginA=0;B=0;sel=0;/ Wait 100 ns for global reset to finish#100;A=32h;B=32h;sel=1;#100;A=32h;B=32h;sel =0; / Add stimulus hereend endmodule然后进行仿真,

10、仿真结果如图所示:(2)符号扩展(Sign_Extender)的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Sign_Extender,然后输入其实现代码:module Sign_Extender(input 15:0 d,output 31:0 o ); assign o = (d15:15 = 1b0) ? 16b0, d15:0 : 16b1, d15:0;endmodule在ISE集成开发环境中,对模块Sign_Extender进行综合(Synthesize),综合结果

11、如图所示。在ISE集成开发环境中,对模块MUX32_2_1进行仿真(Simulation)。首先输入如下测式代码:module Sign_Extender_t;/ Inputsreg 15:0 d;/ Outputswire 31:0 o;/ Instantiate the Unit Under Test (UUT)Sign_Extender uut (.d(d), .o(o);initial begin/ Initialize Inputs d = 0;/ Wait 100 ns for global reset to finish#100; / Add stimulus hered = 1

12、6h0011;#100;d = 16h1011;end endmodule然后进行仿真,仿真结果如图所示:(3)32位寄存器堆(RegFile)的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:RegFile,然后输入其实现代码:module RegFile(input 4:0 Rn1, Rn2, Wn,input Write,input 31:0 Wd,output 31:0 A, B,input Clock ); reg 31:0 Register1:31;assign A =

13、 (Rn1 = 0) ? 0 : RegisterRn1;assign B = (Rn2 = 0) ? 0 : RegisterRn2;always (posedge Clock) beginif (Write & Wn != 0)RegisterWn = Wd;endendmodule在ISE集成开发环境中,对模块RegFile进行综合(Synthesize),综合结果如图所示。在ISE集成开发环境中,对模块RegFile进行仿真(Simulation)。输入如下测式代码:module Regfile_t;/ Inputsreg 4:0 Rn1;reg 4:0 Rn2;reg 4:0 Wn;

14、reg Write;reg 31:0 Wd;reg Clock;/ Outputswire 31:0 A;wire 31:0 B;/ Instantiate the Unit Under Test (UUT)RegFile uut (.Rn1(Rn1), .Rn2(Rn2), .Wn(Wn), .Write(Write), .Wd(Wd), .A(A), .B(B), .Clock(Clock);initial begin/ Initialize InputsRn1 = 0;Rn2 = 0;Wn = 0;Write = 0;Wd = 0;Clock = 0;/ Wait 100 ns for

15、global reset to finish#100; Rn1 = 5b00001;Rn2 = 5b00001;Wn = 5b00001;Write = 1;Wd = 0;Clock = 0;#100;Clock = 1;#50;Wd = 32hBBBBBBBB;#50;Clock = 0;#100;Clock = 1;#100Clock = 0;/ Add stimulus hereend endmodule然后进行仿真,仿真结果如图所示:(4)运算器(ALU)设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilo

16、g Module模块,名称为:ALU,然后输入其实现代码:module ALU(input 31:0 A, B,input 2:0 ALU_operation,output 31:0 Result,output Zero );assign Result = (ALU_operation = 3b000) ? A + B : (ALU_operation = 3b100) ? A - B : (ALU_operation = 3b001) ? A & B : (ALU_operation = 3b101) ? A | B : (ALU_operation = 3b010) ? A B : (AL

17、U_operation = 3b110) ? B15:0, 16h0 : 32hxxxxxxxx; assign Zero = |Result;endmodule在ISE集成开发环境中,对模块ALU进行综合(Synthesize),综合结果如图所示:在ISE集成开发环境中,对模块ALU进行仿真(Simulation)。输入如下测式代码: module ALU_tb;/ Inputsreg 31:0 A;reg 31:0 B;reg 2:0 ALU_operation;/ Outputswire 31:0 Result;wire Zero;/ Instantiate the Unit Under

18、 Test (UUT)ALU uut (.A(A), .B(B), .ALU_operation(ALU_operation), .Result(Result), .Zero(Zero);initial begin/ Initialize InputsA = 0;B = 0;ALU_operation = 0;/ Wait 100 ns for global reset to finish#100; A = 1;B = 1;ALU_operation = 0;/ Add stimulus here#100A = 2;B = 2;ALU_operation = 4;#100A = 1;B = 1

19、;ALU_operation = 1;#100A = 1;B = 1;ALU_operation = 5;#100A = 1;B = 1;ALU_operation = 2;end endmodule然后进行仿真,仿真结果如图所示:(5)控制器(Controller)的设计与实现为了简化设计,控制器由控制单元Control和控制单元ALUop组成,控制器结构如下所示。a Control的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Control,然后输入其实现代码:module

20、 Control(input 5:0 op,output RegDst,output RegWrite,output ALUSrc,output MemWrite,output MemRead,output MemtoReg,output Branch,output 1:0 ALUctr ); wire i_Rt=|op;wire i_Lw=op5 & op3;wire i_Sw=op5 & op3;wire i_Beq =op2 & op1;wire i_Lui=op3 & op2;assign RegDst = i_Rt;assign RegWrite=i_Rt|i_Lw|i_Lui;as

21、sign ALUSrc =i_Lw|i_Sw |i_Lui;assign MemWrite =i_Sw;assign MemRead=i_Lw;assign MemtoReg= i_Lw;assign Branch=i_Beq;assign ALUctr1= i_Rt|i_Lui;assign ALUctr0=i_Beq|i_Lui;endmodule在ISE集成开发环境中,对模块Control进行综合(Synthesize),综合结果如图:在ISE集成开发环境中,对模块Control进行仿真(Simulation)。首先输入如下测式代码:module Control_tb;/ Inputsr

22、eg 5:0 op;/ Outputswire RegDst;wire RegWrite;wire ALUSrc;wire MemWrite;wire MemRead;wire MemtoReg;wire Branch;wire 1:0 ALUctr;/ Instantiate the Unit Under Test (UUT)Control uut (.op(op), .RegDst(RegDst), .RegWrite(RegWrite), .ALUSrc(ALUSrc), .MemWrite(MemWrite), .MemRead(MemRead), .MemtoReg(MemtoReg

23、), .Branch(Branch), .ALUctr(ALUctr);initial begin/ Initialize Inputsop = 0;/ Wait 100 ns for global reset to finish#100; op = 6b;#100; op = 6b;#100; op = 6b;#100; op = 6b;#100; op = 6b;end endmodule然后进行仿真,仿真结果如图所示:b ALUop的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名

24、称为:ALUop,然后输入其实现代码:module ALUop(input 5:0 func,input 1:0 ALUctr,output 2:0 ALU_op );wire i_Rt = ALUctr1 & ALUctr0;assign ALU_op2=(i_Rt&(func2&func1)|(func2 &func0) | ALUctr0;assign ALU_op1=(i_Rt &func2 &func1)| (ALUctr1& ALUctr0);assign ALU_op0=(i_Rt &func2 &func1);endmodule在ISE集成开发环境中,对模块ALUop进行综合(

25、Synthesize),综合结果如图:在ISE集成开发环境中,对模块ALUop进行仿真(Simulation)。首先输入如下测式代码:module ALU_tb;/ Inputsreg 31:0 A;reg 31:0 B;reg 2:0 ALU_operation;/ Outputswire 31:0 Result;wire Zero;/ Instantiate the Unit Under Test (UUT)ALU uut (.A(A), .B(B), .ALU_operation(ALU_operation), .Result(Result), .Zero(Zero);initial b

26、egin/ Initialize InputsA = 0;B = 0;ALU_operation = 0;/ Wait 100 ns for global reset to finish#100; A = 1;B = 1;ALU_operation = 0;/ Add stimulus here#100A = 2;B = 2;ALU_operation = 4;#100A = 1;B = 1;ALU_operation = 1;#100A = 1;B = 1;ALU_operation = 5;#100A = 1;B = 1;ALU_operation = 2;end endmodule然后进

27、行仿真,仿真结果如图所:c 将Control与ALUop封装成Controller在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Controller,然后输入其实现代码:module Controller(input 5:0 op,input 5:0 func,output RegDst,output RegWrite,output ALUSrc,output MemWrite,output MemRead,output MemtoReg,output Branch,output 2:0

28、ALU_op );wire 1:0 ALUctr;Control U0 (op, RegDst, RegWrite, ALUSrc, MemWrite, MemRead, MemtoReg, Branch, ALUctr);ALUop U1 (func, ALUctr, ALU_op);endmodule在ISE集成开发环境中,对模块Controller进行综合(Synthesize),综合结果如图:在ISE集成开发环境中,对模块Controller进行仿真(Simulation)。首先输入如下测式代码:module Controller_tb;/ Inputsreg 5:0 op;reg 5

29、:0 func;/ Outputswire RegDst;wire RegWrite;wire ALUSrc;wire MemWrite;wire MemRead;wire MemtoReg;wire Branch;wire 2:0 ALU_op;/ Instantiate the Unit Under Test (UUT)Controller uut (.op(op), .func(func), .RegDst(RegDst), .RegWrite(RegWrite), .ALUSrc(ALUSrc), .MemWrite(MemWrite), .MemRead(MemRead), .Mem

30、toReg(MemtoReg), .Branch(Branch), .ALU_op(ALU_op);initial begin/ Initialize Inputsop = 0;func = 0;/ Wait 100 ns for global reset to finish#100;op =6b;#100op=6b;#100 op=6b;#100op=6b;endendmodule然后进行仿真,仿真结果如图所示:(6)取指电路的设计与实现取指电路需完成ADD32、PC寄存器、多路选择器和左移两位模块,从而实现该取指电路。aADD32的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击

31、鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:ADD32,然后输入其实现代码:module ADD32(input 31:0 A, B,output 31:0 C );assign C = A + B;endmodule在ISE集成开发环境中,对模块Controller进行综合(Synthesize),综合结果如图:b左移两位模块(Left_2_Shifter)的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Left_2_

32、Shifter,然后输入其实现代码:module Left_2_Shifter(input 31:0 d,output 31:0 o );assign o = d29:0, 2b00;endmodule在ISE集成开发环境中,对模块Controller进行综合(Synthesize),综合结果如图:c综合取指电路(Fetch)的设计与实现在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Fetch,然后输入其实现代码:module Fetch(input Reset,input Clock,i

33、nput 31:0 B_addr,input Z, B,output 31:0 addr ); reg 31:0 PC;wire 31:0 U0_o;wire 31:0 U1_C;wire 31:0 U2_C;wire 31:0 Next_PC;wire sel = Z & B;Left_2_Shifter U0 (B_addr, U0_o);ADD32 U1 (PC, 4, U1_C);ADD32 U2 (U1_C, U0_o, U2_C);MUX32_2_1 M1 (U1_C, U2_C, sel, Next_PC);assign addr = PC;always (posedge Clo

34、ck or negedge Reset) beginif (Reset = 0)PC = 0;elsePC = Next_PC;endendmodule在ISE集成开发环境中,对模块Fetch进行综合(Synthesize),综合结果如图:在ISE集成开发环境中,对模块Fetch进行仿真(Simulation)。首先输入如下测式代码:module FETCH_T;/ Inputsreg clock;reg reset;reg 31:0 b_addr;reg B;reg Z;/ Outputswire 31:0 inst;wire 31:0 o_addr;wire 31:0 o_sum;wire

35、 31:0 o_sum1;/ Instantiate the Unit Under Test (UUT)FETCH uut (.clock(clock), .reset(reset), .b_addr(b_addr), .B(B), .Z(Z), .inst(inst), .o_addr(o_addr), .o_sum(o_sum), .o_sum1(o_sum1);initial begin/ Initialize Inputsclock = 0;reset = 0;b_addr = 0;B = 0;Z = 0;/ Wait 100 ns for global reset to finish

36、#100;clock=1;#100;clock=0;#100;clock=1;#100;clock=0;#100;clock=1;#100;clock=0;#100;clock=1;#100;Z=1;B=1;b_addr=32h4;clock=0;#100;clock=1;#100;clock=0;B=0;Z=0;#100;clock=1;#100;clock=0;#100;clock=1;b_addr=32b0;#100;clock=0;#100;reset=1;clock=1;#100;clock=0;#100;clock=1;#100;clock=0; / Add stimulus he

37、re / Add stimulus hereendendmodule然后进行仿真,仿真结果如图所示:(7)数据通路Data_Flow的设计与实现除去指令存储器Instruction ROM、数据存储器DATA MEM,将剩余的电路封装成一个单周期的CPU数据通路(Data_Flow)模块。在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Data_Flow,然后输入其实现代码:module Data_Flow(input Reset,input Clock,input 31:0 Inst,in

38、put 31:0 Data,output MemWrite,output MemRead,output 31:0 Result,output 31:0 B_data,output 31:0 NextPC );wire 31:0 B_addr;wire Z, B;wire RegDst;wire RegWrite;wire ALUSrc;wire MemtoReg;wire 2:0 ALU_op;wire 31:0 ALU_A, ALU_B;wire 4:0 Wn;wire 31:0 Wd;Fetch U0 (Reset, Clock, B_addr, Z, B, NextPC);Control

39、ler U1 (Inst31:26, Inst5:0, RegDst, RegWrite, ALUSrc, MemWrite, MemRead, MemtoReg, B, ALU_op);ALU U2 (ALU_A, ALU_B, ALU_op, Result, Z);RegFile U3 (Inst25:21, Inst20:16, Wn, RegWrite, Wd, ALU_A, B_data, Clock);MUX5_2_1 U4 (Inst20:16, Inst15:11, RegDst, Wn);MUX32_2_1 U5 (B_data, B_addr, ALUSrc, ALU_B)

40、;Sign_Extender U6 (Inst15:0, B_addr);MUX32_2_1 U7 (NextPC, Data, MemtoReg, Wd);endmodule在ISE集成开发环境中,对模块Controller进行综合(Synthesize),综合结果如图:在ISE集成开发环境中,对模块Data_Flow进行仿真(Simulation)。首先输入如下测式代码:module Data_Flow_tb;/ Inputsreg Reset;reg Clock;reg 31:0 Inst;reg 31:0 Data;/ Outputswire MemWrite;wire MemRead

41、;wire 31:0 Result;wire 31:0 B_data;wire 31:0 NextPC;/ Instantiate the Unit Under Test (UUT)Data_Flow uut (.Reset(Reset), .Clock(Clock), .Inst(Inst), .Data(Data), .MemWrite(MemWrite), .MemRead(MemRead), .Result(Result), .B_data(B_data), .NextPC(NextPC);initial begin/ Initialize InputsReset = 0;Clock

42、= 0;Inst = 0;Data = 0;/ Wait 100 ns for global reset to finish#100;Reset = 1;/#100;Inst = 32h;/R型,add,0号0号寄存器内容相加保存到5号寄存器,执行后MW,MR应为零,B_data应为零Data = 32h;#100;Clock = 1;#100;Clock = 0;#100;Inst = 32h8CB10000;/I,lw,5号与立即数符号扩展相加作为地址,将内存单元内容data送到9号寄存器,执行: /MR应为1,MW应为0,B_data应为data的值32h#100;Clock = 1;#

43、100; Clock = 0;#100Inst = 32hACA00000;/I,sw,5号与立即数符号扩展相加作为地址,将0号寄存器的内容送到内存单元,执行: /MR应为0,MW应为1,B_data应为0号寄存器内容0#100; Clock = 1;#100;Reset = 0; / Add stimulus hereend endmodule然后进行仿真,仿真结果如图所示:(8)Instruction ROM的设计与实现假定一个只有32个32位单元的指令存储器,由于只读无需写入,所以可以设置为简化的32个wire型变量,每个变量可被赋值为一条指令。在ISE集成开发环境中,在工程管理区任意位

44、置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:Inst_ROM,然后输入其实现代码:module Inst_ROM(input 31:0 address,output 31:0 inst ); wire 31:0 ram 0:31;assign ram 0 = 32b_00001_00010_00011_;/andassign ram 1 = 32b_00001_00010_00011_;/andassign ram 2 = 32b_00101_10001_00000;/lwassign ram 3 = 32b_00101_100

45、10_00100;/lwassign ram 4 = 32b_10001_10010_10001_;/andassign ram 5 = 32b_00101_10010_01000;/lwassign ram 6 = 32b_10001_10001_00001;/bepassign ram 7 = 32b_00101_00000_01100;/swassign ram 8 = 32b_00000_00000_00000;/andassign inst = ramaddress6:2;endmodule在ISE集成开发环境中,对模块Inst_ROM进行综合(Synthesize),综合结果如图:

46、在ISE集成开发环境中,对模块Inst_ROM进行仿真(Simulation)。首先输入如下测式代码:module Inst_ROM_tb;/ Inputsreg 31:0 address;/ Outputswire 31:0 inst;/ Instantiate the Unit Under Test (UUT)Inst_ROM uut (.address(address), .inst(inst);initial begin/ Initialize Inputsaddress = 0;/ Wait 100 ns for global reset to finish#100; address

47、 = 0;#100; address = 4;#100; address = 8;#100; address = 12;#100; address = 16;#100; address = 20;#100; address = 24;#100; address = 28;/ Add stimulus hereend endmodule然后进行仿真,仿真结果如图:(9)Data Mem模块的设计与实现对于实验而言,32个32位单元的数据存储器已满足需求(实际情况应该是以字节编址)。由于需要保存并写入数据,所以应设置32个reg型变量,要求初始化0、1、2号单元的内容为2、3、5。在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:data_mem,然后输入其实现代码:module data_mem(Addr, Read, Write, DataIn, Clock, D

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论