移位相加型8位硬件乘法器设计_第1页
移位相加型8位硬件乘法器设计_第2页
移位相加型8位硬件乘法器设计_第3页
移位相加型8位硬件乘法器设计_第4页
移位相加型8位硬件乘法器设计_第5页
已阅读5页,还剩10页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.合 肥 学 院课 程 设 计 报 告题 目:移位相加型8位硬件乘法器系 别: 电子信息与电气工程系 专 业: 通信工程 班 级: 13通信工程(1)班 学 号: 姓 名: 导 师: 石朝毅 成 绩: 2016年 6 月 11 日移位相加型8位硬件乘法器设计摘要本次设计是基于时序结构的8位移位相加型乘法器,使用软件QuartusII进行仿真设计。完成此乘法器,我们需要首先设计该乘法器的组件,包括REGSHT模块、SREG8BT模块、AND8B模块和ADDER8BT模块,并对所有元件进行仿真,无误后可进行乘法器的设计。设计方法使用的是元件例化,具体原理是通过逐项相加来实现乘法功能,最终完成整体的

2、VHDL程序设计并仿真。关键词:时序;乘法器;元件例化目录第一章 前言11.1设计概述11.1.1问题提出与原理11.1.2设计需要1第二章 设计过程及结果22.1设计思路22.1.1设计须知22.1.2基本步骤22.2设计代码及仿真32.2.1元件REGSHT设计代码及仿真结果32.2.2元件SREG8BT设计代码及仿真结果42.2.3元件AND8B设计代码及仿真结果52.2.4元件ADDER8BT设计代码及仿真结果72.2.5总模块设计代码及仿真结果8第三章 总结11致谢12.第一章 前言1.1设计概述1.1.1问题提出与原理采用元件例化的设计方法,设计一个移位相加型8位硬件乘法器设计。下

3、图所示为一个基于时序结构的8位移位相加型乘法器。图1设计原理图1.1.2设计需要(1)元件REGSHT设计,并仿真;(2)元件SREG8BT,并仿真;(3)元件AND8B,并仿真;(4)元件ADDER8BT,并仿真;(5)整体VHDL程序设计,包括元件例化,并仿真。第二章 设计过程及结果2.1设计思路2.1.1设计须知首先建立文件夹在软件工作的环境下,注意对于不同的器件的设计不能放在同一个文件夹当中这样会造成编译时出现混乱的错误现象。对于每个元器件的设计有两种方法:可以利用原理图输入法,或者利用文本输入法进行设计。本次设计使用的是文本输入法。要注意在写文本输入时要注意实体名与你程序中名字一致。

4、然后,依次按照实验指导书的步骤进行设计。先功能仿真,然后时序仿真,最后下载编译。2.1.2基本步骤(1)为本项设计建立文件夹任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的所有文件的文件夹,此文件夹将被EDA软件默认为工作库(Work Library)。一个设计项目可以包含多个设计文件,一般不同的设计项目最好放在不同的文件夹中。注意:文件名不能用中文,且不可带空格。(2)输入设计项目和存盘 a.打开Quartus II,单击“File”菜单,将鼠标移到New Project Wizard选项并单击,b.选择File-New,选择原理图编辑器,双击“Blo

5、ck Diagram/Schematic File”,或者选中该项后单击“OK”按钮。(或者选择File New,选择VHDL File进行文本设计)(3)选择目标器件并编译,在Assignments选项的下拉菜单中选择器件选择项Device.,在Family(器件序列栏)中选定目标器件对应的序列名,EP1C6对应的是Cyclone系列。在Available Devices里选择EP1C6Q240C8(有时需要把 Show advanced devices的勾消去,以便显示出所有速度级别的器件)。注意:所选器件必须与目标板的器件型号完全一致。(4)在总VHDL程序中需要将要用到的之前设计好的元

6、件添加到当前工程中来,添加方法如下:ProjectAdd/Remove File in Project,找到之前的元件add即可,之后方可进行总程序的编译。(5)仿真时,首先选择EditEndTime设置时间为30us,之后导入输入输出端口,选择Pins:All将需要的端口添加,之后给输入端口进行赋值或添加时钟进行时序仿真。2.2设计代码及仿真2.2.1元件REGSHT设计代码及仿真结果LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY regsht ISPORT(CLK,CLR:IN STD_LOGIC; D:IN STD_LOGIC_VECTOR

7、(8 DOWNTO 0); Q:OUT STD_LOGIC_VECTOR(15 DOWNTO 0);END regsht;ARCHITECTURE behav OF regsht ISSIGNAL R16S:STD_LOGIC_VECTOR(15 DOWNTO 0);BEGINPROCESS(CLK,CLR)BEGINIF CLR=1 THEN R16S0);ELSIF CLKEVENT AND CLK=1 THENR16S(6 DOWNTO 0)=R16S(7 DOWNTO 1);R16S(15 DOWNTO 7)=D;END IF;END PROCESS;Q=R16S;END behav;

8、图2 REGSHT时序仿真图分析:此处锁存器模块即16位右移寄存器可利用IF语句来完成其输入值的锁存当清零CLR=1信号到来时定义的信号量R16S锁存输入值即R16S清零否则在时钟信号CLK上升沿作用下将R16S的低8位进行移位操作同时将8位输入数据D锁存到R16S的高8位最后传送给Q输出。在乘法器的顶层设计中乘数与被乘数的乘积也将出现在REG16B端口。2.2.2元件SREG8BT设计代码及仿真结果LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY sreg8bt ISPORT(CLK,LOAD:IN STD_LOGIC; DIN:IN STD_L

9、OGIC_VECTOR(7 DOWNTO 0); QB:OUT STD_LOGIC);END sreg8bt;ARCHITECTURE behav OF sreg8bt ISSIGNAL REG8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK,LOAD)BEGINIF CLKEVENT AND CLK=1 THENIF LOAD=1 THENREG8=DIN;ELSE REG8(6 DOWNTO 0)=REG8(7 DOWNTO 1);END IF;END IF;END PROCESS;QB=REG8(0);END behav;图3 SREG8BT

10、时序仿真图分析:8位右移寄存器是当时钟信号CLK处于上升沿时当LOAD=1时将8位被乘数加载进去而当LOAD=0时数据进行移位操作。同时定义一个信号REG8用来装载新数据及移位后的操作数在完成这些操作后寄存器的最低位REG8(0)传送给QB输出。2.2.3元件AND8B设计代码及仿真结果LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY and8b ISPORT(ABIN:IN STD_LOGIC; DIN:IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);E

11、ND and8b;ARCHITECTURE behav OF and8b ISBEGINPROCESS(ABIN,DIN)BEGINFOR I IN 0 TO 7 LOOPDOUT(I)=DIN(I) AND ABIN;END LOOP;END PROCESS;END behav;图4 AND8B时序仿真图分析:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路其中U1用来装载8位加法器中两个加数的低四位而U2则用来装载高4位。2.2.4元件ADDER8BT设计代码及仿真结果LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD

12、_LOGIC_UNSIGNED.ALL;ENTITY adder8bt ISPORT(CIN:IN STD_LOGIC; A,B:IN STD_LOGIC_VECTOR(7 DOWNTO 0); S:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);COUT:OUT STD_LOGIC);END adder8bt;ARCHITECTURE behav OF adder8bt ISSIGNAL SINT,AA,BB:STD_LOGIC_VECTOR(8 DOWNTO 0);BEGINAA=0&A;BB=0&B;SINT=AA+BB+CIN;S=SINT(7 DOWNTO 0);C

13、OUTCLK0,LOAD=LD,DIN=A,QB=NET1);U2:AND8B PORT MAP(DIN=B,ABIN=NET1,DOUT=NET3);U4:ADDER8BT PORT MAP(A=Q0(15 DOWNTO 8),B=NET3,CIN=NET4,S=NET2(7 DOWNTO 0),COUT=NET2(8);U3:REGSHT PORT MAP(CLK=CLK0,CLR=LD,D=NET2,Q=Q0);END ARCHITECTURE BEHAV;图6 总模块时序仿真图第三章 总结本次设计是个综合性设计,我们需要熟悉很多知识才能完成本次设计。通过本次项目的设计,我对一个项目开发的基本流程有了更深层次的了解,也让我加深对EDA的了解,我也开始喜欢上了EDA。在此次设计的过程中,遇到了很多问题,如写端口时将小括号写成大括号,有些部分还少了分号导致了编译出错,最后根据错误提示信息找到了错误并改正,还有仿真的时候找不到波形文件,原因是我没有将波形文件保存到对应的文件夹中,在找错误的过程中,我觉得自己得到了很大的提升。上课的时候我们学习的都是理论知

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论