使用CPLDFPGA设计数字系统NH-TIV实验指导书.doc_第1页
使用CPLDFPGA设计数字系统NH-TIV实验指导书.doc_第2页
使用CPLDFPGA设计数字系统NH-TIV实验指导书.doc_第3页
使用CPLDFPGA设计数字系统NH-TIV实验指导书.doc_第4页
使用CPLDFPGA设计数字系统NH-TIV实验指导书.doc_第5页
已阅读5页,还剩124页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

此文档收集于网络,如有侵权,请联系网站删除使用CPLD/FPGA设计数字系统NH-TIV实验指导书用我们的服务、产品提升您的竞争力!南京宁煌科技开发有限公司2002年5月目录NH-TIV型EDA实验开发系统3一:系统结构3二:系统使用说明31. NH-TIV系统简介32.下载板主要技术指标43.实验板主要技术指标54.单片机部分115.管脚锁定表126.跳线、地址开关使用说明20数字电路设计实验21实验一:七人表决器21实验二:格雷码变换电路22实验三:英文字符显示电路25实验四:基本触发器27实验五:74LS160计数器功能模块30实验六:步长可变的加减计数器32实验七:可控脉冲发生器34数字系统设计实验37实验一:正负脉宽可控信号发生器37实验二:序列检测器39实验三:四位移位乘法器42实验四:BCD码加法器43实验五:四位全加器45实验六:四位并行乘法器47实验七:数字电压表(A/D)49实验八:锯齿波发生器(D/A)52综合课程设计实验54实验一:出租车计费器54实验二:多功能数字钟61实验三:数字秒表69实验四:频率计75实验五:交通灯控制器82实验六:数字锁88实验七:乒乓球游戏机96实验八:全自动电梯控制电路104实验九:MCU、PLD系统114大规模复杂数字系统设计实验(资料)117实验一:PS/2键盘实验117实验二:VGA彩条信号实验118实验三:RS232串行通信实验119程序演示说明120NH-TIV型EDA实验开发系统附件箱 动态数码管 动态/静态数码管 地址开关 PS/2RS232VGAD/AA/D单片机 电平/脉冲输入 时钟信号 44键盘 单步脉冲 D/AA/D一:系统结构二:系统使用说明1. NH-TIV系统简介NH-TIV型EDA实验开发系统是南京宁煌科技开发有限公司开发的一种多功能、高配置、高品质的EDA教学与开发设备。适用于大学本科、研究生的EDA教学、课程设计和毕业设计,也适用于高等院校、科研院所做项目开发之用。NH-TIV型实验开发系统的PLD器件的I/O管脚与输入/输出器件采用固定连接。可以完成各种简单和复杂的数字电路设计实验。使学生的实验从传统的硬件连接调试转变成为软件设计、仿真调试、编程下载的实验模式。与采用连线方式的实验模式相比,可以节省实验时间,提高实验效率,并能降低实验故障率。NH-TIV型EDA实验开发系统采用了实验板+下载板结构,开发了包含有Lattice、Altera、XILINX、Atmel等世界著名半导体公司的ASIC芯片的NH系列下载板,可以完成各种数字可编程实验。同时,NH系列下载板可以结合单片机使用,完成可编程逻辑器件和单片机的联合实验。NH系列所有下载板均可同时使用。可同时进行单片机的在线仿真和可编程逻辑器件的在线编程,以便学生掌握CPLD/FPGA和 MCU相结合应用的有关知识。同时,可以对液晶显示器进行单独编程。可以适应各高等院校的教学、开发、科研等需要。下面将简要介绍下载板及实验板的技术指标及性能以及部分实验课题。下载板是实验系统的核心,板上配有目标用CPLD/FPGA芯片,实验中下载板插在系统实验板上,形成一个完整的实验系统。下载板上设有下载电路接口,使用通用通信电缆和计算机相连接。下载板设计中含有保护电路,提高系统安全性能。下载板配备有扩展接口,用户可以实现自由扩展。2.下载板主要技术指标下载板是实验系统的核心。可插在NH-TIV实验板上。下载板通过一根25芯并行电缆与计算机并行端口相连,由开发系统将设计文件下载、配置到下载板的CPLD/FPGA芯片之中。为适应不同PLD厂商及不同型号CPLD/FPGA芯片,设计了各种不同的下载板。NH-TIV型EDA实验开发系统共有配套的7种CPLD/FPGA下载板。 七种数字下载板的主要技术参数Altera系列:NH7128(采用Altera MAX系列CPLD芯片)NH10K10(采用Altera Flex10K系列FPGA芯片)NH10K30(采用Altera Flex10K系列FPGA芯片)芯片型号可用门宏单元可用I/O口编程次数EPM7128LC84250012864100芯片型号典型门系统门嵌入式阵列块可用I/O口EPF10K10LC841000031000359NH10K10下载板:板上配有Altera公司FPGA芯片:EPF10K10LC84。EPF10K10LC84资源:密度10000门;封装PLCC84;频率高于150MHZ;I/O口55个。 EDA开发软件:MAXPLUS II。NH7128下载板:板上配有Altera公司CPLD芯片:EPM7128SLC84。EPM7128SLC84资源:密度2500门;封装PLCC84;频率高于150MHZ;I/O口60个。 EDA开发软件:MAXPLUS II。NH10K30下载板板上配有Altera公司FPGA芯片:EPF10K30RC208。EPF10K30RC208资源:密度30000门,封装PQFP208;频率高于100MHZ;I/O口147个。 EDA开发软件:MAXPLUS II。Xilinx系列:NH95108(采用Xilinx 9500系列CPLD芯片)NHXC10(采用Xilinx Spartan系列FPGA芯片)NHXC10下载板:板上配有Xilinx公司FPGA芯片:XCS10PC84。XCS10PC84资源:密度10000门;封装PLCC84;频率高于150MHZ;I/O口58个。 EDA开发软件:Foundation、Foundation ISE。NH95108下载板:板上配有Xilinx公司CPLD芯片:XC95108PC84。XC95108PC84资源:密度4800门;封装PLCC84;最高频率125MHZ;I/O口63个。 EDA开发软件:Foundation、Foundation ISE。Lattice系列:NH1032(采用Lattice 1032系列CPLD芯片)芯片型号可用门寄存器可用I/O口编程次数ispLSI 1032E60001926410000NH1032E下载板:板上配有Lattice公司CPLD芯ispLSI1032E-70LJ84。ispLSI1032资源:密度6000门;封装PLCC84;最高频率90MHz;I/O口:60个。 EDA开发软件:ispEXPERT。Atmel系列:ATF1504/ATF1508(采用Atmel 15系列CPLD芯片)芯片型号可用门宏单元可用I/O口编程次数ATF1504LC443000643210000 下载板的结构及其使用方法(1)下载板中央设计有可插拔的PLCC84封装的CPLD/FPGA芯片。(2)下载板右侧有一个DB25封装的插座(编程通信接口),通过一根25芯下载电缆将该插座与计算机并口接口相连,使用PLD厂商的开发软件完成下载、配置操作。(3)下载板上下两侧分别有双排焊点(正面)、双排插针(反面)和两个单独插针(定位用) 。焊点旁边的数字即为与CPLD/FPGA芯片相连管脚号,管脚号边的符号名为实验板上主要信号名。(4)上下两排焊点的左上角和右下角焊点分别为VCC和GND,分别与CPLD/FPGA芯片的VCC和GND相连,插在实验板上可从实验板获得+5V电源。(5)下载板与实验板配合使用时,可形成一个完整的实验系统。(6)下载板也可以作为一个独立的开发工具进行使用。左边的40芯插座为用户扩展接口。3.实验板主要技术指标(1)实验板可以和多种下载板相适配。(2)8个7段共阴极数码管,可以通过地址开关实现静态显示和动态扫描显示。(3)3种颜色共10个发光二极管(LED),可以实现脉冲和电平显示。(4)10个按键/电平拨动开关,在使用同一个I/O端口的情况下,可以同时产生逻辑电平“1”和“0”,以及上升沿和下降沿。并且,每一个开关有相对应的LED显示输入的情况。(5)44矩阵扫描键盘,可以完成键盘扫描功能。(6)2通道时钟信号输出,可以产生14种频率的时钟信号和手动单步脉冲。(7)2套独立的A/D、D/A转换系统,可以实现模拟信号和数字信号的转换。(8)PS/2接口、RS232接口和VGA接口,可以实现实验开发系统和计算机以及工业标准外设的通信。(9)完整的单片机最小系统(含存储器),可以实现单片机和可编程逻辑器件协同工作。同时,单片机系统当中包含有独立的A/D、D/A转换系统,构成了独立的数据采集系统。(10)12864图形显示液晶,可以实现汉字和图形的显示。 高低电平开关K1K10、脉冲按键S1S10及指示灯实验板有10个高低电平开关K1K10和10个脉冲按键S1S10,每一组电平拨动开关和脉冲按键使用同一个I/O口。拨动开关上方配有10个发光二极管D1-D10, 这些发光管既可以作为电平按键输入指示, 也可作为脉冲按键输入指示。 发光二极管L1L10在实验板的上方有10个发光二极管L1L10它们分别与下载板上的I/O口相连。红、黄、绿灯可以用于做交通灯等实验。这些发光二极管设计有保护电路,当相应的I/O管脚输出逻辑高电平1,发光二极管点亮,当管脚输出为逻辑低电平0时,发光二极管熄灭。 动、静态显示数码管M1M8实验板上配备的8个数码管可以工作于动态扫描和静态显示两种方示。动态扫描方式下,可以控制8个数码管,静态显示方式下,可以控制4个数码管。在动态扫描方式下,a、b、c、d、e、f、g、dp为数码管的8段驱动,M1、M2、M3、M4、M5、M6、M7、M8为8个数码管的位驱动,动态显示为8位。静态显示方式下,4个数码管可以单独控制。注:1.在静态显示方式下,系统实验板配有4-7段译码器,用户无需另行设计译码电路和扫描电路。2.在动态扫描方式下,当段驱动输入逻辑电平1,位驱动输入逻辑电平1时,数码管点亮。 时钟信号CP1、CP2实验板上配有非常丰富的时钟信号,为实验提供了极大的方便。在实验板的右下侧共有二通道独立的“时钟信号”。CP1、CP2两组信号源共有从低频到高频的28个时钟信号分别与下载板的CP1、CP2相连通,并有“STEP” 单步信号输入按键。单步信号按键:“单步”信号键位于实验板的右下侧,每按一次,将产生一个与按下时间等脉宽的单步脉冲。单步按键上方的指示灯指示按键情况。CP1和CP2中的“STEP”均与该“单步”信号相连接。CP1、CP2两通道信号源中的任何一个通道插座中只能选择一种信号频率,操作中只能分别插入一个跳线帽。 7 蜂鸣器主板配有蜂鸣器电路:蜂鸣器位于主板左侧(两个下载板中间),下载板中的SP信号端与蜂鸣器电路输入端相连,向蜂鸣器输出一个可调频率的方波,蜂鸣器根据不同频率发出音响,蜂鸣器额定输出功率为50mW。 A/D转换器ADC0804的特点和应用ADC0804的特点:(1)8位分辨率A/D转换器;(2)容易与所有的单片机进行接口;(3)差分模拟电压输入;(4)逻辑输入和输出为TTL电平;(5)转换时间:103mS-114mS;(6)最大非线性误差:1LSB Max;(7)片上带有时钟发生器;(8)单电源5V供电:模拟电压输入范围0-5V;(9)不需要零位调整。ADC0804的应用:实验板配有并行模数转换器ADC0804,可完成数据采集,数字电压表等实验课题。A/D转换器的模拟电压输入有两种方式。方式一:采用系统电源的+5V电源。操作方法如下:跳线帽插上CZ5(单步时钟按键上面)插座,运行A/D控制程序,调节电位器(位于CZ5上方),数码管显示相应的数据;方式二:采用外部输入的模拟电压。拔掉跳线帽,用户可以使用实验板右侧中部的A/D信号输入插座(J2),调节电位器可以改变模拟输入信号的大小。ADC0804管脚图ADC0804转换时序图ADC0804输出时序图ADC0804工作在Self-Clocking in Free-Running模式时管脚连接图注:在上电的瞬间,需要给nWR一个低电平,让系统工作。 D/A变换器特点和应用DAC0832的特点:(1)分辨率为8位;(2)提供标准的处理器接口;(3)电流稳定时间为1mS;(4)可单缓冲输入、双缓冲输入或直接数字输入;(5)只需在满量程下调整其线性度;(6)单电源+5V供电。DAC0832的应用:实验板上配有数模转换器DAC0832。可完成FSK、DDS、波形产生器等实验课题。DAC0832为学习并行D/A数模转换器提供了良好的实践环境。DAC0832有一路DA转换器,通过运放OP07进行电流-电压转换,模拟信号从J1输出(输出为负电压)。DAC0832电路连接图 VGA接口实验板上配有15针的VGA接口,和计算机相连可完成彩条信号发生器、方格信号发生器以及图像显示等实验。电路连接图 RS-232串行接口实验板上配有9针RS-232串行接口电路(含有MAX232电平转换电路),该电路将下载板上的CPLD/FPGA的CMOS/TTL电平转换成RS-232电平,并且通过实验板上RS232插座与计算机及其它设备的RS-232通讯接口相连。电路连接图 PS/2接口实验板上配有6针PS/2接口,这是一种新型串行接口,可与计算机的的鼠标、键盘等外设相连接,完成PS/2协议的处理和通信。 4X4键盘实验板右下角有一个4X4矩阵扫描键盘,它的水平和垂直方向各可以输入/输出四位信号,共可以产生十六种组合信号。 单步脉冲按键STEP主板设有两路单步脉冲按键STEP(按下一次“STEP”按键,指示灯亮,表明输出一个单步脉冲)。时钟信号CP1、CP2,通过短接插座上的跳线帽与CPLD/FPGA的时钟输入端相连,使下载板上的CPLD/FPGA获得相应的时钟信号4.单片机部分单片机部分的单片微处理器为ATMEL公司的FLASH芯片89C51/89C52;单片机外围配备了HY6264(8Kbit8)随机存储器(SRAM),可以和ADC0804和DAC0832完成数模转换/模数转换等实验。实验板还有液晶显示接口,连接通用液晶显示模块TM12864,用MCS-51汇编语言或FlankliC51语言可编程产生字符、图像和汉字。 使用方法和注意事项CZ2(左上角)为模数转换器切换插座做模数转换实验需要和NH系列下载板结合起来使用。 当CZ2跳线帽插上时,运行相应的A/D控制程序,调节W2(左上角)数码管显示发生相应的变化;当跳线帽不插时,用户可使用模拟信号的输入接口(J4),调节W2可改变模拟输入信号的大小。CZ4(左面下载板上方)为液晶显示接口插座此处可插上TM12864通用液晶显示模块。CZ4插座下的字符除GND、VCC、VEE、V0外其余的均为89C51的端口,用户如果自己做实验可以使用这些端口,这些端口均没有和下载板相连,是独立的,但是必须将液晶显示模块拔下。W1用于调节液晶显示模块的对比度,调到适当位置使得液晶屏显示清晰即可。CZ3为液晶显示模块背景光电源接口插座。注意:液晶显示模块插入CZ4插座时必须一一对应以免损坏液晶显示模块。 附注: 89C51的P1口、读信号线端口RD、写信号线端口WR、定时器端口T0和T1,外部中断信号端口INT0和INT1都和液晶显示器相联,相联的插座为CZ4。当液晶显示器不用而拔下时,用户可以使用这些端口,具体端口名电路板上已经标出。数码管显示电路数码管显示电路为动态扫描方式,编写程序时需要注意。数/模转换实验 MCU扩展部分作数/模转换实验,需要和NH系列下载板结合起来使用。RS232接口,CZ6的作用RS232串行通信接口,可用于本实验板和计算机之间的串行通信。CZ6的作用:右边两个跳线帽插上时,RS232的串行通信信号对主板右侧起作用,即可以和CPLD/FPGA进行通信。左边两个跳线帽插上时,RS232的串行通信信号对主板左侧起作用,即可以和MCU进行通信。复位按键RESET为复位按键(位于单片机上方),用于89C51的复位,当MCU系统需要复位时可按此按键。NH-TIV型MCU部分引脚对应表P3(左边下载板上面插槽)插槽引脚对应表:第二、四两行为NH系列下载板引脚号,第一、五两行为MCU扩展部分对应引脚号:其中A、B、C、D、E、F、G、DP为四个数码管的段驱动(并联),M1、M2、M3、M4为四个数码管的位驱动引脚;CS1为RAM6264的片选信号引脚,RD1、WE1分别为RAM6264的读允许信号引脚和写允许信号引脚,A0-A12为RAM6264的地址线。GECAM4M2CS2RD2WR2CS3WR3A12A10A8A6A4A2A0GNDL10L8L6M7M5M3M1GECAL4L2H1H3V1V3GNDVCCL9L7M8M6M4M2DPFDBL5L3L1H2H4V2V4GNDVCCFDBDPM3M1WRRDRD1CS1WE1A11A9A7A5A3A1GND P4(左边下载板下面插槽)插座引脚对应表: 第二、四两行为NH系列下载板引脚号,第一、五两行为MCU扩展部分对应引脚号:P00-P07为89C51的P0口,ALE/POROG 为89C51的允许地址锁存和编程电压引脚(复用),P20-P24为89C51的P2口;D0-D7为RAM6264、ADC0804、DAC0832共用的八位数据线。VCCD3D2D1D0GNDVCCD3D2D1D0GNDSPK1K2K3K4K5K6K7K8K9K10P01P00D7D6D5D4CP1CP2GNDP00P01P02P03P04P05P06P07ALEP24P23P22P21P20D7D6D5D4CLKGND5.管脚锁定表注:(1) J1、J3为测量数/模(D/A)转换信号接口。(2) J2、J4为测量模/数(A/D)转换信号接口。 NH10K10下载板主要器件名称信号名兼容器件名称信号名NH10K10发光二级管L10DAC0832DI725L9DI624L8DI523L7DI422L6DI321L5DI278L4DI173L3DI072L2PS2CLK71L1DATA70拨动开关K128K229K330K435K536K637K738K839K947K1048RS232RXD49TXD50DB7ADC080451DB652DB553DB454DB358DB259DB160DB061扬声器SP27矩阵键盘H1VGAR69H2G67H3B66H4H-SYNC65矩阵键盘V1V-SYNC64V262V384(I)V4 2(I)时钟信号CP11CP243数码管管脚锁定:动态扫描:7段码abcdeFgdot11109191817168选择端sel8sel 7sel 6sel 5sel 4sel 3sel 2sel 1356779808183静态显示:M1DM1CM1BM1AM2DM2CM2BM2A356779808183M3DM3CM3BM3AM4DM4CM4BM4A11109191817168 4.2 NH7128下载板主要器件名称信号名兼容器件名称信号名NH7128发光二级管L10DAC0832DI725L9DI624L8DI522L7DI421L6DI320L5DI274L4DI173L3DI070L2PS2CLK69L1DATA68拨动开关K128K229K330K431K533K634K735K836K937K1040RS232RXD41TXD44DB7ADC080445DB648DB549DB450DB351DB254DB155DB056扬声器SP27矩阵键盘H1VGAR67H2G65H3B64H4H-SYNC63矩阵键盘V1V-SYNC61V260V358V4 57时钟信号CP12CP283数码管管脚锁定:动态扫描:7段码abcdefgdot121110181716159选择端sel8sel 7sel 6sel 5sel 4sel 3sel 2sel 18145875767780静态显示:M1DM1CM1BM1AM2DM2CM2BM2A8145875767780M3DM3CM3BM3AM4DM4CM4BM4A121110181716159 NH95108下载板主要器件名称信号名兼容器件名称信号名NH95108发光二级管L10DAC0832DI725L9DI624L8DI523L7DI421L6DI320L5DI282L4DI181L3DI080L2PS2CLK79L1DATA75拨动开关K131K232K333K435K537K640K744K845K946K1047RS232RXD48TXD50DB7ADC080451DB652DB553DB454DB355DB256DB157DB058扬声器SP26矩阵键盘H1VGAR72H2G70H3B69H4H-SYNC68矩阵键盘V1V-SYNC67V266V365V4 63时钟信号CP19CP210数码管管脚锁定:动态扫描:7段码abcdefgdot141311191817157选择端sel8sel 7sel 6sel 5sel 4sel 3sel 2sel态显示:M1DM1CM1BM1AM2DM2CM2BM2A3456838412M3DM3CM3BM3AM4DM4CM4BM4A141311191817157 NHXC10下载板主要器件名称信号名兼容器件名称信号名NHXC10发光二级管L10DAC0832DI725L9DI624L8DI523L7DI420L6DI319L5DI281L4DI180L3DI079L2PS2CLK78L1DATA77拨动开关K127K228K329K438K539K640K744K845K946K1047RS232RXD48TXD49DB7ADC080450DB651DB556DB457DB358DB259DB160DB061扬声器SP26矩阵键盘H1VGAR72H2G70H3B69H4H-SYNC68矩阵键盘V1V-SYNC67V266V365V4 62时钟信号CP113CP235数码管管脚锁定:动态扫描:7段码abcdefgdot14109181716158选择端sel8sel 7sel 6sel 5sel 4sel 3sel 2sel 145678283843静态显示:M1DM1CM1BM1AM2DM2CM2BM2A45678283843M3DM3CM3BM3AM4DM4CM4BM4A14109181716158 NH1032下载板主要器件名称信号名兼容器件名称信号名NH1032发光二级管L10DAC0832DI718L9DI617L8DI516L7DI415L6DI314L5DI278L4DI177L3DI076L2PS2CLK75L1DATA74拨动开关K130K232K334K436K537K638K739K841K945K1047RS232RXD48TXD49DB7ADC080450DB651DB552DB453DB354DB255DB156DB057扬声器SP28矩阵键盘H1VGAR73H2G72H3B71H4H-SYNC70矩阵键盘V1V-SYNC69V268V362V4 60时钟信号CP120CP266数码管管脚锁定:动态扫描:7段码abcdefgdot987131211106选择端sel8sel 7sel 6sel 5sel 4sel 3sel 2sel 18334579808182静态显示:M1DM1CM1BM1AM2DM2CM2BM2A8334579808182M3DM3CM3BM3AM4DM4CM4BM4A987131211106 ATF1508下载板主要器件名称信号名兼容器件名称信号名ATF1508发光二级管L10DAC0832DI725L9DI624L8DI522L7DI421L6DI320L5DI274L4DI173L3DI070L2PS2CLK69L1DATA68拨动开关K128K229K330K431K533K634K735K836K937K1040RS232RXD41TXD44DB7ADC080445DB648DB549DB450DB351DB254DB155DB056扬声器SP27矩阵键盘H1VGAR67H2G65H3B64H4H-SYNC63矩阵键盘V1V-SYNC61V260V358V4 57时钟信号CP12CP283数码管管脚锁定:动态扫描:7段码abcdefgdot121110181716159选择端sel8sel 7sel 6sel 5sel 4sel 3sel 2sel 18145875767780静态显示:M1DM1CM1BM1AM2DM2CM2BM2A8145875767780M3DM3CM3BM3AM4DM4CM4BM4A1211101817161596.跳线、地址开关使用说明 12MHz晶振跳线通常情况下,将12 MHz晶振的跳线帽插到左边。当一些高频实验需要使用12MHz晶振的时候,将晶振的跳线帽插到右边。 地址开关动态和静态显示切换:将地址开关的1号(最上面)拨动开关拨到左边为数码管的动态扫描显示,拨到右边为数码管的静态显示。发光二极管控制开关:将地址开关的5和6号拨动开关(自上向下第五和第六个)拨到左边发光二极管停止工作,拨到右边发光二极管可以正常显示。PS/2控制开关:将地址开关的7和8号(最下面)拨动开关拨动到右边PS/2接口可以正常工作,拨动到左边,PS/2接口停止工作。(注:当PS/2正常工作的时候,建议将发光二极管停止工作)。数字电路设计实验实验一:七人表决器一实验目的1初步了解VHDL语言。2学习使用行为级描述方法设计电路。二实验原理使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL进行设计的时候,可以选择行为级描述、寄存器级描述,结构描述等方法。当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。三实验内容1使用VHDL实现上述描述。2下载并且验证结果。四设计提示1初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。2了解变量和信号的区别。3了解进程内外语句的顺序和并行执行的区别。五实验报告要求1列出七人表决器VHDl源代码。2书写实验报告时要结构合理,层次分明,在分析描述的时候,需要注意语言的流畅。六参考源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY vote7 ISPORT(men: INstd_logic_vector(6 downto 0);LedPass,LedFail : OUT STD_LOGIC);END vote7;ARCHITECTURE behave OF vote7 ISsignal pass: std_logic;BEGINPROCESS (men)variable temp:std_logic_vector(2 downto 0);BEGINtemp:=000;for i in 0 to 6 loopif(men(i)=1) thentemp:=temp+1;elsetemp:=temp+0;end if;end loop;pass=temp(2);END PROCESS;LedPass=1 WHEN pass=1 ELSE 0;LedFail=1 WHEN pass=0 ELSE 0;END behave;-实验二:格雷码变换电路一实验目的1使用组合电路设计4位格雷码/二进制变换电路。2了解进程内部CASE语句的使用以及使用VHDL进行门级描述的方法。二实验原理使用VHDL描述4位格雷码/二进制变换电路有两种方法:方程输入法、状态选择方程法。1)方程输入法4位格雷码/二进制变换方程如下,根据变换方程,可以求出每一个输出方程。 4位格雷码/二进制码转换表格雷码二进制码 G3 G2 G1 G0 B3

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论