出租车计费器系统毕业设计论文_第1页
出租车计费器系统毕业设计论文_第2页
出租车计费器系统毕业设计论文_第3页
出租车计费器系统毕业设计论文_第4页
出租车计费器系统毕业设计论文_第5页
已阅读5页,还剩21页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

哈尔滨工业大学本科毕业设计(论文)I摘 要该系统利用 VHDL 语言、PLD 设计出租车计费系统,以 MAX+PLUS软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。关键词: 出租车计费器;计数器;VHDL 语言;MAX+PLUS; 哈尔滨工业大学本科毕业设计(论文)IIRental car fare register system designStudent: YANG Youtian Teacher: LIU YaomingAbstract:This system using the VHDL language, PLD design rental car costs the system, develops the platform by the MAX+PLUSsoftware achievement, has designed the rental car fare register system program and has carried on the procedure simulation. Causes its realization to cost as well as the initialization and the simulation automobile starts, stops, function and so on suspension, and dynamic scanning demonstration fare number.Key words: The rental car costs the system; the counter; the VHDL language; MAX+PLUS II;哈尔滨工业大学本科毕业设计(论文)III目 次摘要Abstract1 引言11.1 设计背景11.2 EDA 发展概况22 出租车计费系统的设计72.1 出租车计费设计72.2 基本设计思想73 出租车计费系统的实现83.1 系统的总体框图83.2 程序流程图93.3 系统各功能模块的实现103.3.1 模块 JIFEI 的实现103.3.2 模块 X 的实现123.3.3 模块 XXX1 的实现153.3.4 模块 SE 的实现163.3.5 模块 DI 的实现174 系统仿真194.1 模块 X 的仿真结果194.2 模块 JIFEI 的仿真结果194.3 模块 XXX1 的仿真结果194.4 模块 SE 的结果验证204.5 模块 DI 的结果验证205 结论21致谢22参考文献23哈尔滨工业大学本科毕业设计(论文)11 引 言1.1 设计背景随着出租车行业的发展,对出租车计费器的要求也越来越高。二十世纪后半期,随着集成电路和计算机技术的飞速发展,数字系统也得到了飞速发展,其实现方法经历了由分立元件、SSI、MSI 到 LSI、VLSI 以及 UVLSI 的过程。同时为了提高系统的可靠性与通用性,微处理器和专业集成电路(ASIC)逐渐取代了通用全硬件 LSI 电路,而 ASIC 以其体积小、重量轻、功耗低、速度快、成本低、保密性好而脱颖而出。目前,业界大量可编程逻辑器件(PLD) ,尤其是现场可编程逻辑器件(FPLD)被大量地应用在 ASIC 的制作当中。在可编程集成电路的开发过程中,以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果的电子设计自动化(EDA)技术主要能辅助进行三方面的设计工作:IC 设计,电子电路设计以及 PCB 设计理想的可编程逻辑开发系统能符合大量的设计要求:它能够支持不同结构的器件,在多种平台运行,提供易于使用的界面,并且有广泛的特征。此外,一个设计系统应该能给设计师提供充分自由的设计输入方法和设计工具选择。Altered 公司开发的MAX+PLUS开发系统能充分满足可编程逻辑设计所有要求。MAX+PLUS设计环境所提供的灵活性和高效性是无可比拟的。其丰富的图形界面,辅之以完整的、可及时访问的在线文档,使设计人员能够轻松、愉快地掌握和使用MAX+PLUS软件。编程器是一种专门用于对可编程器(如 EPROM,EEPROM,GAL,CPLD,PAL 等)进行编程的专业设备PLD 器件的逻辑功能描述一般分为原理图描述和硬件描述语言描述,原理图描述是一种直观简便的方法,它可以将现有的小规模集成电路实现的功能直接用 PLD 器件来实现,而不必去将现有的电路用语言来描述,但电路图描述方法无法做到简练;硬件描述语言描述是可编程器件设计的另一种描述方法,语言描述可能精确和简练地表示电路的逻辑功能,现在 PLD 的设计过程中广泛使用。常用的硬件描述语言有 ABEL,VHDL语言等,其中 ABEL 是一种简单的硬件描述语言,其支持布尔方程、真值表、状态机等逻辑描述,适用于计数器、译码器、运算电路、比较器等逻辑功能的描述;VHDL 语言是一种行为描述语言,其编程结构类似于计算机中的 C 语言,在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。VHDL 就是超高速集成电路硬件描述语言。覆盖面广,描述能力强,是一个多层次的硬件描述语言。在 VHDL 语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。具有良好的可读性,即容易被计算哈尔滨工业大学本科毕业设计(论文)2机接受,也容易被读者理解。使用期长,不会因工艺变化而使描述过时。因为 VHDL 的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL 为设计的分解和设计的再利用提供了有力的支持。1.2 EDA 发展概况电子设计技术的核心就是 EDA 技术,EDA 是指以计算机为工作台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子 CAD 通用软件包,主要能辅助进行三方面的设计工作,即 IC 设计、电子电路设计和 PCB 设计。EDA 技术已有 30 年的发展历程,大致可分为三个阶段。70 年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行 IC 版图编辑、PCB 布局布线,取代了手工操作。80 年代为计算机辅助工程(CAE)阶段。与 CAD 相比,CAE 除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE 的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB 后分析。90 年代为电子系统设计自动化(EDA)阶段。中国 EDA 市场已渐趋成熟,不过大部分设计工程师面向的是 PC 主板和小型 ASIC领域,仅有小部分(约 11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的 EDA 技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD) 、计算机辅助工程(CAE) 、计算机辅助工艺(CAPP) 、计算机机辅助制造(CAM) 、产品数据管理(PDM) 、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造” ,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在 ASIC 和 PLD 设计方面,向超高速、高密度、低功耗、低电压方向发展。外设技术与 EDA 工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。中国自 1995 年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它 EDA 市场的竞争。在 EDA 软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有 ASIC 设计工具,但不对外开放 。中国华大集成电路设计中心,也提哈尔滨工业大学本科毕业设计(论文)3供 IC 设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具有各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了 50%和 30%。EDA 技术发展迅猛,完全可以用日新月异来描述。EDA 技术的应用广泛,现在已涉及到各行各业。EDA 水平不断提高,设计工具趋于完美的地步。EDA 市场日趋成熟,但我国的研发水平还很有限,需迎头赶上。可编程逻辑器件自年代以来,经历了、几个发展阶段,其中高密度可编程逻辑器件,目前集成度已高达万门片,它将各模块集成度高的优点和可编程逻辑器件设计生产方便的特点结合在一起,特别适合于样品研制或小批量产品开发,使产品能以最快的速度上市,而当市场扩大时,它可以很容易地转换掩模实现,因此开发风险也大为降低。硬件描述语言()是一种用于设计硬件电子系统的计算机语言,它用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,与传统的门级描述方式相比,它更适合大规模系统的设计。例如一个位的加法器,利用图形输入软件需要输人至个门,而利用语言只需要书写一行“”即可。而且 语言可读性强,易于修改和发现错误。早期的硬件描述语言,如、,由不同的厂商开发,互不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上不足,年美国国防部正式推出了高速集成电路硬件描述语言,年采纳为硬件描述语言标准( ) 。是一种全方位的硬件描述语言,包括系统行为级。寄存器传输级和逻辑门多个设计层次,支持结构、数据流和行为三种描述形式的混合描述,因此几乎覆盖了以往各种硬件俄语言的功能,整个自顶向下或由下向上的电路设计过程都可以用来完成。还具有以下优点:()的宽范围描述能力使它成为高层进设计的核心,将设计人员的工作重心提高到了系统功能的实现与调试,而花较少的精力于物理实现。可以用简洁明确的代码描述来进行复杂控制逻辑设计,灵活且方便,而且也便于设计结果的交流、保存和重用。 ()的设计不依赖于特定的器件,方便了工艺的转换。 ()是一个标准语言,为众多的厂商支持,因此移植性好。传统的硬件电路设计方法是采用自下而上的设计方法,即根据系统对硬件的要求,详细编制技术规格书,并画出系统控制流图;然后根据技术规格书和系统控制流图,对系统的功能进行细化,合理地划分功能模块,哈尔滨工业大学本科毕业设计(论文)4并画出系统的功能框图;接着就进行各功能模块的细化和电路设计;各功能模块电路设计、调试完成后,将各功能模块的硬件电路连接起来再进行系统的调试,最后完成整个系统的硬件设计。采用传统方法设计数字系统,特别是当电路系统非常庞大时,设计者必须具备较好的设计经验,而且繁杂多样的原理图的阅读和修改也给设计者带来诸多的不便。为了提高开发的效率,增加已有开发成果的可继承性以及缩短开发周期,各 ASIC 研制和生产厂家相继开发了具有自己特色的电路硬件描述语言(Hardware Description Language,简称 HDL) 。但这些硬件描述语言差异很大,各自只能在自己的特定设计环境中使用,这给设计者之间的相互交流带来了极大的困难。因此,开发一种强大的、标准化的硬件描述语言作为可相互交流的设计环境已势在必行。于是,美国于 1981 年提出了一种新的、标准化的 HDL,称之为 VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,简称 VHDL。这是一种用形式化方法来描述数字电路和设计数字逻辑系统的语言。设计者可以利用这种语言来描述自己的设计思想,然后利用电子设计自动化工具进行仿真,再自动综合到门电路,最后用 PLD 实现其功能。覆盖面广,描述能力强,是一个多层次的硬件描述语言。在 VHDL 语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。具有良好的可读性,即容易被计算机接受,也容易被读者理解。使用期长,不会因工艺变化而使描述过时。因为 VHDL 的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL 为设计的分解和设计的再利用提供了有力的支持。当电路系统采用 VHDL 语言设计其硬件时,与传统的电路设计方法相比较,具有如下的特点:即从系统总体要求出发,自上而下地逐步将设计的内容细化,最后完成系统硬件的整体设计。在设计的过程中,对系统自上而下分成三个层次进行设计:第一层次是行为描述。所谓行为描述,实质上就是对整个系统的数学模型的描述。一般来说,对系统进行行为描述的目的是试图在系统设计的初始阶段,通过对系统行为描述的仿真来发现设计中存在的问题。在行为描述阶段,并不真正考虑其实际的操作和算法用何种方法来实现,而是考虑系统的结构及其工作的过程是否能到达系统设计的要求。第二层次是 RTL 方式描述。这一层次称为寄存器传输描述(又称数据流描述) 。如哈尔滨工业大学本科毕业设计(论文)5前所述,用行为方式描述的系统结构的程序,其抽象程度高,是很难直接映射到具体逻辑元件结构的。要想得到硬件的具体实现,必须将行为方式描述的 VHDL 语言程序改写为 RTL 方式描述的 VHDL 语言程序。也就是说,系统采用 RTL 方式描述,才能导出系统的逻辑表达式,才能进行逻辑综合。第三层次是逻辑综合。即利用逻辑综合工具,将 RTL 方式描述的程序转换成用基本逻辑元件表示的文件(门级网络表) 。此时,如果需要,可将逻辑综合的结果以逻辑原理图的方式输出。此后可对综合的结果在门电路级上进行仿真,并检查其时序关系。应用逻辑综合工具产生的门网络表,将其转换成 PLD 的编程码,即可利用 PLD 实现硬件电路的设计。由自上而下的设计过程可知,从总体行为设计开始到最终的逻辑综合,每一步都要进行仿真检查,这样有利于尽早发现设计中存在的问题,从而可以大大缩短系统的设计周期。系统可大量采用 PLD 芯片。由于目前众多制造 PLD 芯片的厂家,其工具软件均支持 VHDL 语言的编程。所以利用 VHDL 语言设计数字系统时,可以根据硬件电路的设计需要,自行利用 PLD 设计自用的 ASIC 芯片,而无须受通用元器件的限制。系统框架结构 系统框架结构(FRAMEWORK)是一套配置和使用软件包的规范。目前主要的系统都建立了框架结构,如 公司的 Design Framework,Mentor 公司的 Falcon Framework,而且这些框架结构都遵守国际组织制定的统一技术标准。框架结构能将来自不同厂商的工具软件进行优化组合,集成在一个易于管理的统一的环境之下,而且还支持任务之间、设计师之间以及整个产品开发过程中的信息传输与共享,是并行工程和自顶向下设计施的实现基础。技术的每一次进步,都引起了设计层次上的一次飞跃,从设计层次上分,年代为物理级设计() ,年代为电路级设计() ,年代进入到系统级设计() 。物理级设计主要指版图设计,一般由半导体厂家完成,对电子工程师没有太大的意义,因此本文重点介绍电路级设计和系统级设计。仿真通过后,根据原理图产生的电气连接网络表进行板的自动布局布线。在制作板之前还可以进行后分析,其中包括热分析、噪声及窜扰分析、电磁兼容分析、可靠性分析等,并可将分析后的结果参数反标回电路图,进行第二次仿真,也称为后仿真。后仿真主要是检验板在实际工作环境中的可行性。哈尔滨工业大学本科毕业设计(论文)6由此可见,电路级的技术使电子工程师在实际的电子系统产生前,就可以全面地了解系统的功能特性和物理特性,从而将开发风险消灭在设计阶段,缩短了开发时间,降低了开发成本。系统级设计 进人年代以来,电子信息类产品的开发明显呈现两个特点:一是产品复杂程度提高;二是产品上市时限紧迫。然而,电路级设计本质上是基于门级描述的单层次设计,设计的所有工作(包括设计忙人、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的,显然这种设计方法不能适应新的形势,一种高层次的电子设计方法,也即系统级设计方法,应运而生。高层次设计是一种“概念驱动式”设计,设计人员无须通过原理图描述电路,而是针对设计目标进行功能描述。由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的方案与概念的构思上,而且这些概念构思以高层次描述的形式输人计算机,系统就能以规则驱动的方式自动完成整个设计。这样,新的概念就能迅速有效地成为产品,大大缩短了,产品的研制周期。不仅如此,高层次设计只是定义系统的行为特性,可以不涉及实现工艺,因此还可以在厂家综合库的支持下,利用综合优化工。 哈尔滨工业大学本科毕业设计(论文)72 出租车计费系统的设计2.1 出租车计费设计实际中出租车的计费工作原理一般分成 3 个阶段:(1)车起步开始计费。首先显示起步价(本次设计起步费为 7.00 元),车在行驶 3 km 以内,只收起步价 7.00 元。(2)车行驶超过 3 km 后,按每公里 2.2 元计费(在 7.00 元基础上每行驶 1 km 车费加 2.2 元),车费依次累加。(3)行驶路程达到或超过 9 km 后(车费达到 20 元),每公里加收 50%的车费,车费变成按每公里 3.3 元开始计费。车暂时停止(行驶中遇红灯或中途暂时停车)不计费,车费保持不变。若停止则车费清零,等待下一次计费的开始2.2 基本设计思想(1) 根据出租车计费原理,将出租车计费部分由 5 个计数器来完成分别为counterA,counterB,counterC,counterD,counterE。计数器 A 完成车费百位。计数器 B 完成车费十位和个位。计数器 C 完成车费角和分。计数器 D 完成计数到 30(完成车费的起步价) 。计数器 E 完成模拟实现车行驶 100 m 的功能。(2)行驶过程中车费附加 50%的功能:由比较器实现。(3)车费的显示:由动态扫描电路来完成。用专用模块来实现,完成数据的输入即动态数据的显示。(4)通过分析可以设计出系统的顶层框图如图 2.1 所示:图 2.1 系统的顶层框图哈尔滨工业大学本科毕业设计(论文)83 出租车计费系统的实现3.1 系统的总体框图图 3.1 系统总体框图哈尔滨工业大学本科毕业设计(论文)93.2 程序流程图车开始启动里程不计数里程计数 里程显示计费器计数 车费显示车跑完成一次后车费、里程清零YESYESYESNONO图 3.2 程序流程图哈尔滨工业大学本科毕业设计(论文)103.3 系统各功能模块的实现3.3.1 模块 JIFEI 的实现模块 JIFEI 见图 3.2。输入端口 START、STOP、PAUSE、JS 分别为汽车起动、停止、暂停、加速按键。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jifei is port (clk,start,stop,pause,js:in std_logic;chefei,luc:out integer range 0 to 8000);end jifei;architecture rtl of jifei isbeginprocess(clk,start,stop,pause,js)variable a,b:std_logic;variable aa:integer range 0 to 100;variable chf,lc:integer range 0 to 8000;variable num:integer range 0 to 9;beginif(clkevent and clk=1)thenif(stop=0)thenchf:=0;num:=0;图 3.3 模块JIFEI哈尔滨工业大学本科毕业设计(论文)11b:=1;aa:=0;lc:=0;elsif(start=0)thenb:=0;chf:=700;lc:=0;elsif(start=1 and js=1and pause=1)thenif(b=0)thennum:=num+1;end if;if(num=9)thenlc:=lc+5;num:=0;aa:=aa+5;end if; elsif(start=1and js=0and pause=1)thenlc:=lc+1;aa:=aa+1;end if;if(aa=100)thena:=1;aa:=0;elsea:=0;end if;if(lc=2000 and a=1)thenchf:=chf+330;哈尔滨工业大学本科毕业设计(论文)12end if;end if;chefeiddddddddnull;end case;end process;end rtl;3.3.4 模块 SE 的实现模块 SE 见图 3.6library ieee;use ieee.std_logic_1164.all;图 3.6 模块SE哈尔滨工业大学本科毕业设计(论文)17use ieee.std_logic_unsigned.all;entity se isport(clk:in std_logic;a:out std_logic_vector(2 down to 0);end se;architecture rtl of se isbeginprocess(clk)variable b:std_logic_bector(2 downto 0);begin if(clkevent and clk=1)thenif(b=”111”)thenb:=”000”;elseb:=b+1;end if;end if;aqqqqqqqqqq=”1101111”end case;end process;end rtl;哈尔滨工业大学本科毕业设计(论文)194 系统仿真4.1 模块 X 的仿真结果将车费和路程转换成 4 位的十进制如图 4.1 所示:输入端为:Daclk, ascore, bscore输出端为:Age, ashi, aqian, abai, bge, bshi, bqian, bbai;图 4.1 模块 X 仿真波形图4.2 模块 JIFEI 的仿真结果该模块是模拟汽车的启动、停止、暂停、加速按键,如图 4.2 所示:输入端口为:stop, start, pause, js;输出端口为:clk, b;图 4.2 模块 JIFEI 仿真波形图4.3 模块 XXX1 的仿真结果该模块是将车费和路程显示出来如图 4.3 所示输入端为:c, a1,a2,a3,a4,b1,b2,b3,b4;输出端为:dp,d;哈尔滨工业大学本科毕业设计(论文)20图 4.3 模块 XXX1 仿真波形图4.4 模块 SE 的结果验证模块 SE 仿真如图 4.4 所示:输入端为:clk输出端为:a图 4.4 模块 SE 仿真波形图4.5 模块 DI 的结果验证模块 DI 仿真的结果如图 4.5 所示 输入端为:d 输出端为:q图 4.5 模块 DI 仿真波形图哈尔滨工业大学本科毕业设计(论文)215 结论出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功能,并设计动态扫描电路显示车费

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论