D触发器实现的2分频与2倍频.docx_第1页
D触发器实现的2分频与2倍频.docx_第2页
D触发器实现的2分频与2倍频.docx_第3页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

此文档收集于网络,如有侵权请联系网站删除D触发器实现二分频与二倍频先来二分频的其基本思想是将D触发器改成T触发器,每隔一个时钟周期,输出时钟反向一次。这样就达到了二分频的目的。下面列出Verilog代码:module div2(clk,rst_n,clk_out);clue adj. 线索;提示input clk,rst_n;output clk_out;wire clk_temp;always (posedge clk)begingoods n. 货物if(rst_n)beginclk_out=0;endelsetetrahedron n. 四面体clk_out=clk_temp;vote vt. & vi. 投票;选举endassign clk_temp=clk_out;endmodule仿真图如下:习惯了的下面是二倍频的(乐队)指挥基本思想:通过逻辑延时,使同频时钟相位改变,而后将两个时钟相或即可得到二倍频电路,不过占空比不可调,由两个时钟相位差决定。Verilog代码如下:module twice (clk, clk_out);worn adj. 用旧的;用坏的;破烂的input clk; output clk_out; wire clk_temp;wire d_outn;reg d_out=0;assign clk_temp = clk d_out ;assign clk_out = clk_temp ;assign d_outn = d_out ;always(posedge clk_temp)begind_out = d_outn ; endendmodulewould rather 宁愿;宁可由于它是靠延时产生的时钟,所以只能进行时序仿真才能看到波形,仿真波形如下:离开的archaeology n.

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论