



免费预览已结束,剩余1页可下载查看
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
实验CPU 通用寄存器组一、 实验目的(1) 了解通用寄存器组的用途及对CPU的重要性。(2) 掌握通用寄存器组的设计方法。二、 实验原理通用寄存器组是CPU的重要组成部分。从存储器取来的数据要放在通用寄存器中;从外部设备取来的数据除DMA方式外,要放在通用寄存器中。向存储器输出的数据也是从通用寄存器中取出;向外部设备输出的数据除DMA方式外也是从通用寄存器中取出来的。由于从通用寄存器组中取数据比从存储器或者外部设备取数据快得多,因此参加算术运算和逻辑运算的数据一般是从通用寄存器组中取出,它向算术逻辑单元ALU提供了进行算术运算和逻辑运算所需要的两个操作数,同时又是运算结果的暂存地。通用寄存器组内寄存器的数目与CPU性能有关,CPU性能预告,通用寄存器组内的寄存器数目越多。由于算术逻辑运算需要两个操作数,因此通用寄存器组有两个读端口,负责提供进行算术逻辑单元需要的源操作数和目的操作数。通用寄存器组有1个写端口,负责将运算结果保存到指定的寄存器内。根据通用寄存器组的功能要求,一个只有4个16位寄存器的通用寄存器组的框图如下图所示。在上图所示的电路中,当reset为低电平时,将4个16位寄存器R0R3复位为0。当寄存器的write和sel为高电平时,在时钟信号clk的上升沿将D端的输入D15.0写入寄存器,然后送到寄存器的输出Q15.0。4个寄存器的允许写信号write和外部产生的目的寄存器写信号DRWr直接相连。每个寄存器还有另一个选择信号sel,它决定哪一个寄存器进行写操作。4个寄存器的选择信号分别和2-4译码器产生的sel00、sel01、sel10和sel11相连。只有当1个寄存器被选中时,才允许对该寄存器进行写操作。2-4译码器的输入sel1.0接DR1.0,2-4译码器对2位的输入信号sel1.0进行2-4译码,产生4个输出sel00、sel01、sel10和sel11,分别送往4个寄存器R0、R1、R2、R3的选择端4选1多路选择器1从4个寄存器R0、R1、R2、R3的输出Q15.0选择1路送到DR_data1.0,给算术逻辑单元提供目的操作数;选择信号sel1.0接DR1.0。4选1多路选择器2从4个寄存器R0、R1、R2、R3的输出Q15.0选择一路送到SR_data1.0,给算术逻辑单元提供源操作数;选择信号sel1.0接SR1.0。三、实验要求1、实验设计目标设计一个通用寄存器组,满足以下要求:(1)通用寄存器组有4个16位的寄存器。(2)当复位信号reset=0时,将通用寄存器组中的4个寄存器清零。(3)通用寄存器组中有1个写入端口,当DRWr=1时,在时钟clk的上升沿将数据总线上的数写入DR1.0指定的寄存器。(4)通用寄存器组中有两个读出端口,一个对应算术逻辑单元的目的操作数DR,另一个对应算术逻辑单元的源操作数SR。DR1.0选择目的操作数;SR1.0选择源操作数。(5)设计要求层次设计。底层的设计实体有3个:16位寄存器,具有复位功能和允许写功能;一个2-4译码器,对应寄存器写选择;一个4选1多路开关,负责选择寄存器的读出。顶层设计构成一个完整的通用寄存器组。2、顶层设计实体的引脚要求引脚要求对应关系如下(1)clk对应实验台上的时钟(单脉冲)。(2)reset对应试验台上的CPU复位信号CPU-RST。(3)SR1.0对应试验台开关SA1,SA0。(4)DR1.0对应试验台开关SA3,SA2。(5)DRWr对应试验台开关SA5。(6)目的操作数用实验台上的指示灯A15A0显示,源操作数用试验台上的指示灯R15R0显示。(7)d-input接开关SD15SD0。四、实验主要代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity regfile isPort ( DR: in std_logic_vector(1 downto 0); SR: in std_logic_vector(1 downto 0); reset: in std_logic;DRWr: in std_logic; clk: in std_logic;d_input: in std_logic_vector(15 downto 0);DR_data:out std_logic_vector(15 downto 0); SR_data: out std_logic_vector(15 downto 0) );end regfile;architecture struct of regfile is- components- 16 bit Register for register filecomponent regport(clr: instd_logic;D: instd_logic_vector(15 downto 0);clock: instd_logic;write: instd_logic; sel: instd_logic;Q: out std_logic_vector(15 downto 0);end component;- 2 to 4 Decodercomponent decoder_2_to_4 port(sel: in std_logic_vector(1 downto 0);sel00: out std_logic;sel01: out std_logic;sel02: out std_logic;sel03: out std_logic);end component;- 4 to 1 line multiplexercomponent mux_4_to_1port (input0,input1,input2,input3: in std_logic_vector(15 downto 0);sel: in std_logic_vector(1 downto 0);out_put: out std_logic_vector(15 downto 0);end component;signal reg00, reg01, reg02, reg03 :std_logic_vector(15 downto 0); signal sel00 ,sel01 ,sel02 ,sel03 : std_logic;beginAreg00: reg port map(clr= reset,D=d_input ,clock=clk ,write=DRWr , sel=sel00 ,Q= reg00);Areg01: reg port map(clr= reset,D=d_input ,clock=clk ,write=DRWr , sel=sel01 ,Q= reg01);Areg02: reg port map(clr= reset,D= d_input ,clock=clk ,write=DRWr , sel=sel02 ,Q= reg02);Areg03: reg port map(clr= reset,D=d_input ,clock=clk ,write=DRWr , sel=sel03 ,Q= reg03);- decoderdes_decoder: decoder_2_to_4 port map(sel = DR, sel00 = sel00 ,sel01 = sel01 ,sel02 = sel02 ,sel03 = sel03 );mux1: mux_4_to_1 PORT MAP(Input0 = reg00 , Input1 = reg01 ,Input2 = reg02 ,Input3 = reg03 ,sel = DR ,out_put = DR_data);mux2: mux_4_to_1 PORT MAP(input0 = reg00 , input1 = reg01 ,input2 = reg02 ,input3 = reg03 ,sel = SR ,out_put = SR_data);end struct;五、实验步骤(1)将实验台设置成FPGA-CPU独立调试模式,REGSEL=0、CLKSEL=1、FDSEL=0。使用试验台上的单脉冲,即DTEP_CLK短路子短接,短路子RUN_CLK断开。(2)将涉及在QuartusII下输入,编译后下载到TEC_CA上的FPGA中。(3)将下列数据存入寄存器:R0 0x3333R1 0x5555R2 0xAAAAR3 oxFFFF(4)在试验台指示灯A15A0和R15R0上观察各寄存器的值,并填入下表。步骤A15A0R15R0R0R1R2R3R0R1R2R3(1)reset00000000000000000000000000000000(2)R0写入ox333333330000000000003333000000000000(3)R1写
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 四川省成都市高中化学 钠的重要化合物(氧化钠和过氧化钠)教学设计 新人教版必修1
- 企业用工风险培训
- 互感器运行维护及故障处理考核试卷
- 玻璃制造中的超导技术应用考核试卷
- 信托公司发展战略考核试卷
- 小学心理健康北师大版 (2013)三年级下册第三十三课 做勇敢的孩子教案
- 竹浆在无碳复写纸生产中的技术探究考核试卷
- 唐人坊销售培训
- 禽类屠宰加工废弃物资源化利用现状考核试卷
- 电信网络技术安全与数据保护策略考核试卷
- 办公用品采购清单2022
- 仓库货物堆码技术培训PPT课件仓库货物摆放与堆码规范
- 构建和谐宿舍人际关系
- GB/T 42381.8-2023数据质量第8部分:信息和数据质量:概念和测量
- 酒店流水单模板-住宿酒店流水单模板
- 西师大版数学五下《分数加减法(一)》教案(公开课)
- 【公开课课件】明清小说
- FZ/T 73067-2020接触凉感针织服装
- 语文知识(一)词性课件
- 爆破安全管理知识培训
- 海泰电子病历系统-(医生)用户手册
评论
0/150
提交评论