利用SSI设计组合逻辑电路.docx_第1页
利用SSI设计组合逻辑电路.docx_第2页
利用SSI设计组合逻辑电路.docx_第3页
利用SSI设计组合逻辑电路.docx_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

利用SSI设计组合逻辑电路一、实验目的1 掌握组合逻辑电路的设计方法;2 熟悉集成组合电路芯片的逻辑功能及使用方法。二、实验预习要求1 复习组合逻辑电路的设计方法;2 根据实验任务与要求,独立设计电路;3 清楚本次实验所用集成门电路的管脚。三、实验原理在数字系统中,按逻辑功能的不同,可将数字电路分为两类,即组合逻辑电路和时序逻辑电路。组合逻辑电路在任何时刻的稳定输出仅取决于该时刻电路的输入,而与电路原来的状态无关。用SSI进行组合逻辑电路设计的一般步骤是:1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表;2)利用卡诺图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式;3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。掌握组合逻辑电路的设计方法,能让我们具有五彩缤纷的逻辑思维,通过逻辑设计将许多实际问题变为现实。四、实验设备及器件名 称数 量备 注 数字电子技术实验箱1 74LS00,74LS20,75LS86各1五、设计举例1 用与非门设计一个A、B、C三人表决电路。设:A、B、C为输入变量,F为输出结果。变量取值为1表示赞成,取值为0,表示反对。F为1表示通过,为0表示反对。1) 列真值表ABC&ABF0000111100110011010101010CF0010 图3-1 三人表决电路111 BCA0001111000010101112) 输出逻辑函数化简与变换根据真值表,用卡诺图进行化简: F=AB+BC+CA经两次求反,即得两级“与非”表达式 F=AB+BC+CA =AB BC CA3) 画逻辑图根据表达式,用与非门组成的逻辑电路如图3-1所示。4) 验证电路逻辑功能按图接线,A、B、C分别接相应开关,F接指示灯,观察输入、输出状态。 六、实验任务(下列实验内容任取其二)1)用TTL四2输入与非门(74LS00)、二4输入与非门(74LS20)设计数字密码锁控制电路。密码信号控制信号开锁信号报警信号 要求:数字锁Z1 Z2A B C D 图2-2 数字锁电路E ABCD : E : Z1 : Z2 :当控制信号:E=1时,如密码正确,则开锁;密码错误,报警E=0时,不开锁,不报警2) 用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全减器。要求:Ai、Bi、Ci分别为一个被减数、另一个减数、低位向本位的借位;Si、Ci+1分别为本位差、本位向高位的借位。3) 用与非门设计血型配对电路(判断输血者与受血者的血型符合规定的电路)。要求:人类由四种基本血型:A、B、AB、O型。输血都与受血者的血型必须符合下述原则:O型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能接受所有血型的血;A型血能给A型与AB型血的人,而A型血的人能接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果符合规定,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对。)约定:“00”代表“O”型;“01”代表“A”型 “10”代表“B”型;“11”代表“AB”型七、实验报告要求1 写出设计步骤与电路工作原理;2 分析实验结果;3 总结实验过程中出现的故障和排除故障的方法。八、电路功能验证(结论记录在实验原记录纸上) 数字密码锁控制信号密码开锁信号报警信号EA B C DZ1Z211 1 1 1010 1 1 111 0 1 111 1 0 111 1 1 0 全减器电路Ai Bi CiSi Ci+1 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1(3)血型配对电路供血者受血者配对结果供血者受血者配对结果MNPQFMNPQF0

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论