




已阅读5页,还剩30页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
南阳理工学院毕业论文南阳理工学院 毕业设计(论文) 题目:基于altera公司cyclone系列的大型soc设计姓 名: 学 号: 专 业: 系 别: 指导教师: 起止日期: 基于altera公司cyclone系列的大型soc设计摘要 当今社会是数字化的社会,是数字集成电路广泛应用的社会。数字集成电路本身在不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路、发展到超大规模集成电路以及许多具有特定功能的专用集成电路。本文根据目前altera公司的器件.提出了led显示屏幕的256级的灰度设计的总体方案和设计思路将论文的目标定位在两个方面:fpga把单片机从pc机里面发过来的数据存到内存(sram)里面和fpga把存到内存(sram)里面的数据根据一定的方式扫描输出.电路设计的单片机采用新华龙公司具有8051核和内嵌flash rom,a/d,d/a转换的c8051f340单片机.fpga采用altera公司的cyclone系列的的ep1c3t100c6.软件采用altera公司设计软件quartus2和keil c51软件来编制系统.用单片机上电配置fpga. 本文利用了单片机和fpga结合在一起做系统,利用单片机和fpga的各自的优点提高产品性价比,可靠性,稳定性.为以后的系统的更新等方面进行有益的帮助.关键词 cyclone系列fpga c8051f340单片机 256级led灰度 闪存 内存 the design of large-scale soc based on altera company cyclone catenaabstract: social is the society that the numeral turn nowadays, is a numerical integrated circuit extensively applied society.the numerical integrated circuit is carrying on renewal to change generation constantly.it from the electronics tube,transistor,small medium scale integrated circuit of the earlier period,develop to the super large scale integration and many appropriation integrated circuits that have particular function.this text basis is the spare part of the altera company currently.put forward 256 the ash degree of the classes of the led manifestation screen total project ofwith design and the design way of thinking. the target fixed position of the thesis is in two aspects: fpga single slice the machine comes over from the pc machine inside hair of the data save the inside of the sram and the fpga to save a certain way of the data in the sram to scan exportation.single slice of the electric circuit design the machine just has 8051 pits with the new dragon company with inside the flash, a/d, d/a conversion inside the c8051 f340 of the type quickly single slice machine.cyclone series of the fpga adoption altera company of of ep1 c3 t100 c6.the design software quartus2 and the keil c51 softwares of the software adoption altera company to draw up system.use a single slice machine to install a single slice machine.this text made use of a single slice the machine and fpga to combine together to do system, make use of a single slice machine and fpga of each from of the advantage may raise the product price ratio, credibility, stability.renew for the later system etc. the aspect carry on a beneficial help.key words: fpga, c8051f340mcu, 256 the class led ash degrees flash rom sram目 录1 绪论11.1 国内led显示屏的现状11.2 本次课题的目的和主要研究内容22 系统总体设计32.1 系统总体设计方案32.2 单元电路方案的实行32.2.1 单片机选择和功能模块的实现方案32.2.2 fpga的存数据功能模块52.2.3 fpga的读数据功能模块83 硬件电路的设计113.1 单片机硬件连接113.1.1 单片机和pc机连接123.1.2 单片机和内存的连接123.1.3 单片机和fpga的连接电路133.2 fpga模块的硬件连接133.2.1 fpga和内存的连接143.2.3 fpga输出的连接143.3 整体电路设计144 软件的设计164.1 fpga存数据控制器的设计164.2 fpga读出数据模块18结论21致 谢22参考文献23附录24 3 基于altera公司cyclone系列的大型soc设计姓名:赵向军 学号:94203090 班级:039421绪论自1985年xilinx公司推出第一片现场可编程逻辑器件(fpga)至今,fpga已经历了十几年的发展历史。在这十几年的发展过程中,以fpga为代表的数字系统现场集成技术取得了惊人的发展:现场可编程逻辑器件从最初的1200个可利用门,发展到90年代的25万个可利用门,乃至当新世纪来临之即,国际上现场可编程逻辑器件的著名厂商altera公司、xilinx公司又陆续推出了数百万门的单片fpga芯片,将现场可编程器件的集成度提高到一个新的水平.本课题就要用altera公司的cyclone系列的fpga设计 256级灰度led点阵屏.1.1国内led显示屏的现状 led电子显示屏是集微电子技术、光电子技术、计算机技术、信息处理技术于一体的大型显示系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作性能稳定而日渐成为显示媒体中的佼佼者,广泛应用于广告、证券、信息传播、新闻发布等方面,是目前国际上极为先进的显示媒体。led电子显示屏(led panel)是通过一定的控制方式,用于显示文字、图形、图像、动画、行情、视频、录像信号等各种信息的led器件阵列组成的显示屏幕。 传统的白积灯,无法克服其耗电量大,寿命短的缺陷,霓虹灯、广告灯箱、平面招牌广告等虽色彩鲜丽,但变化单调,更不能播放视频图像广告;磁翻版虽可借电脑拼装简单图案,但自身不具备发光源,夜间使用效果差。近几年,随着微电子技术、自动化技术、计算机技术的迅速发展,生产工艺的更新及新材料的应用,使得led芯片的亮度、寿命得到了突飞猛进的发展,从而使其应用领域日益宽广,led显示屏市场得到长足的发展。93年后,超高亮蓝色、红色、绿色发光管的出现,使得实现真彩色显示屏成为事实,室外显示屏得到人们的喜爱,特别在体育场馆、广告、新闻等领域的应用日渐广泛。从未来发展趋势看,目前具有视频效果的几种媒体,其性能优势各有千秋:阴极管(crt)或石英管(dv)大型电视:成本非常昂贵,通常只能做到37英寸,体积再大就要受到限制,在不需要超大画面且在室内使用时,效果理想。彩色液晶显示(lcd):同样成本昂贵,电路复杂,面积不能太大,而且受视角的影响非常大,可视角度很小,但画面细腻、视觉感好。映像投影设备(projector):亮度小、清晰度差(画面受光不均匀),优点是安装方便、维护简单。电视墙(tv-wall):表面有分割线,视觉上有异物感,室外应用时亮度上效果差,不适于表示文字,但室内表现电视画面时效果良好。led:受空间限制较小,并可以根据用户要求设计屏的大小,具有全彩色效果、视角大的特点,可以表示文字、图案、图像(包括动画和视频)。 我国经济发展迅猛,对信息的传播有越来越高的要求。可以相信,led电子显示屏以其色彩鲜亮、显示信息量大、寿命长、耗电量小、重量轻、空间尺寸小、稳定性高、易操作、易安装维护等特点将在社会经济发展中扮演越来越重要的角色。总得看来,led显示屏在当今显示媒体中,性价比最高。本课题就将采用altera公司的器件设计具有256级灰度控制led显示屏控制系统.1.2 本次课题的目的和主要研究内容256级灰度led点阵屏通常要具有能远程同步实时显示计算机视频信号的功能,涉及到的电路包括:数字视频信号的采集、数字信号的格式转换及非线性校正、远程传输及接收、灰度显示控制电路、led点阵显示等。本文重点讨论fpga“灰度显示控制电路”的设计,控制对象以红、绿双基色led点阵屏、1/16扫描显示电路为。在设计中,主要内容就是硬件和软件的设计了。硬件中,主要是fpga的输出显示,数据通过单片机发送给fpga,fpga同过转换先把大量的数据存入内存(sram)然后存数据的过程中在通过移位时钟把串行的数据一位一位的输送到led点阵上,以实现巡回的扫描.通过面板上的led点阵能直接看到所设计的结果。软件设计中,单片机作为负责把pc机的数据送给fpga,由于数据量过大所以也为单片机也需要扩展sram.,由于单片机掉电后也必须保持着上次通讯的数据,单片机不仅要有sram,还应该有flash rom.2 系统总体设计现代芯片向高精度,高可靠性,低功耗方向快速发展,要求产品研发之初就要对该产品的市场发展动态,性能,参数,功能,成本等方面尽可能全面的把握和权衡,尽量采用较低的硬件,软件设计和维护成本构建总体设计方案,将硬件层设计提高到软件层或系统层。鉴于此,首先提出了系统总体设计方案2.1 系统总体设计方案总体设计框图如图2.1所示:图2.1总系统的设计框图2.2 单元电路方案的实行2.2.1 单片机选择和功能模块的实现方案单片机和pc机采用232的通讯方式和pc机进行数据的传输.所谓通讯就是在不同的独立系统通过线路互相交换数据,而构成整个通信的线路则称为网络.通信的目的是进行数据交换,因为数据必须经过交换才能从一端传送到另一端.发送端使用某种方法就是将数据通过一定的程序与线路发送出去,接受端则按照协商好的方式将数据收集起来并保存或显示在画面上.为了让显示屏幕显示的数据是自己所想要的.所以单片机的通讯是很重要的一步.本文采用的是串行通讯.串行通信由一个发送者发送,依次发送一位数据,通过一根简单的数据线到达接受者.而且每台计算机都有一至两个串口,在进行串行通信时除了一根连接设备与计算机的电缆线外,不需要附加其他硬件,因此用串行通讯.可以节约电路板的硬件设计.单片机接收到了pc机的数据把接收到的数据处理在存到闪存里面,以让单片机在通讯过后,断电依然的保存数据,让客户一上电就可以显示上次通讯所显示的内容.所以为了减少硬件的连线硬件选型的时候选择了c8051f340型单片机.由于数据量太大,光有flash rom是行的.单片机接收过来的是pc机发的数据,要先处理了数据才能在存入flash rom.由于数据量太大单片机内部256b的ram显然不够.所以单片机不仅要有闪存也还需要有sram.c8051f单片机是完全集成的混合信号系统级芯片(soc),具有与8051兼容的高速cip-51内核,与mcs-51指令集完全兼容,片内集成了数据采集和控制系统中常用的模拟、数字外设及其他功能部件;内置flash程序存储器、内部ram,大部分器件内部还有位于外部数据存储器空间的ram,即xram。c8051f单片机具有片内调试电路,通过4脚的jtag接口可以进行非侵入式、全速的在系统调试。c8051f34x型单片机具有10 位adc 1lsb inl;无失码;可编程转换速率最大达200ksps;17个外部输入;可编程为单端输入或差分.内置独立温度传感器(3).温度范围:40 85.2个比较器,内置基准电压:2.4v.上电复位/掉电检测器.完全兼容usb 2.0.支持全速(12mbps)和低速(1.5mbps).集成的时钟恢复电路;无需外部晶体即可实现全速和低速两种运行.8个可灵活配置的端点专用的1kb的usb缓冲存储器.集成的收发器,无需外部电阻.片内调试电路提供全速、非侵入式的在系统调试(无需仿真器).支持断点、单步能够查看和修改存储器,寄存器和usb存储器.性能优于使用仿真芯片、目标仿真头和插座的仿真器.高速的8051微控制内核流水线的指令结构;70%指令的执行时间为1或2个系统时48mhz的时钟频率,速度可达48mips扩展的中断系统.4352据ram(256+4kb).64kb的闪存;扇区大小512b.外部并行数据存储接口.40个i/o口线。所有口线均耐5v电压.可同时使用的硬件smbus、spi 和uart4个通用16位计数器/定时器.可编程的16 位计数器阵列,有5 个捕捉/比较模块.内部振荡器:0.25%精度,带有时钟恢复性能,支持所有usb和uart模式. 片内时钟倍频:频率可达48mhz.片内稳压器支持usb总线供电.片内稳压器旁路支持usb自供电.运行电压:2.7到5.25v.48脚ltqf 封装(无铅)。单片机的原理图2.2.02.2.2 fpga的存数据功能模块fpga的存数据部分采用逐位分时点亮,所谓逐位分时点亮,即从一个字节数据中依次提取出一位数据,分8次点亮对应的像素,每一位对应的每一次点亮时间与关断时间的占空比不同。如果点亮时间从低位到高位依次递增,则合成的点亮时间将会有256 种组合。定义点亮时间加上关断时间为一个时间单位,设为t 。表2.2.1列出了每一位的点亮与关断的时间分配。如果定义数据位“1”有效(点亮),“0”无效(熄灭),则表2.2.2列出了数据从00h到ffh时的不同点亮时间。由表2.2.2可知:数据每增1,点亮时间增加t/128。根据点亮时间与亮度基本为线性关系的原理,从0255t/128的点亮时间则对应256级亮度。当然,这个亮度是时间上的累加效果。如果把一个led点阵屏所有像素对应的同一数据位点亮一遍称为一场的话,那么8位数据共需8场显示完,称为“8场原理”。图2.2.0 单片机的接线图表2.1.2 数据位点亮和关断时间分配数据位点亮时间关断时间总时间d0t/128t-t/128d1t/64t-t/64d2t/32t-t/32d3t/16t-t/16d4t/8t-t/8d5t/4t-t/4d6t/2t-t/2d7t0 表2.2.3 场显示和各位的点亮和关断时间数据位点亮时间关断时间总时间d78t08td64t04td52t02td4t0td3t/22/ttd2t/43t/4td1t/87t/8td0t/1613t/16t表2.2.2 00h到0fh时的不同点亮时间数据点亮时间关断时间总时间00h08t8t01ht/1288t-t/1288t02h2t/1288t-2t/1288t03h3t/1288t-3t/1288t. . . . . . . . . . 8t8t8t8t8tffh255t/1288t-255t/1288t表2.2.4场显示时00f到ffh范围的总点亮时间数据点亮时间总时间00h019t01ht/1619t02h2t/1619t03h.3t/16 19tffh255/1619t 理论上讲,8场即可显示出256级灰度,然而通过表2.2.2可看出,即使数据为ffh时,在8t时间内也只是点亮了255t/128时间。关断时间可接近6t,点亮时间仅为总时间的约25%,因此,8场原理虽也能实现256级灰度显示,但亮度损失太大。为了提高亮度,可采用“19场原理”,即8位数据分19场显示完,其中d7位数据连续显示8场,d6位连续显示4场,依次递减。表2.2.3列出了各位的点亮与关断时间。由表2.2.3可推导出数据从00hffh范围的总点亮时间,如表2.2.4所示。在19t时间内,最大点亮时间可达近16t, 占总时间的84.21%,远大于“8场原理”的25%。数据每增1,点亮时间增加了t/16 ,该值大于“8场原理”的t/128。所以 ,“19场原理”较“场原理”的对比度更明显,图像层次分明、表现力强。2.2.3 fpga的读数据功能模块fpga的读数据模块的时序如下图2.2.3.1图2.2.3.1 fpga的读数据模块fpga的数据读出部分被控对象为1/16扫描显示电路,所以显示屏每16行只需要一路数据信号即可drout1、 dgout1即为第一个16行的红、绿基色输出信号;drout2、dgout2 为第2个16行的红、绿基色输出信号。以此类推。ha、hb、hc、hd的二进制编码,定义当前的数据输出应是16行中的哪一行。cp信号为数据串行输出的同步移位脉冲。le信号为一行串行数据输出结束后的锁存脉冲, le每有效一次,ha、hb、hc、hd 二进制编码状态增1。ea为灰度控制信号,其宽度为在一个时间单位t内led的点亮时间。当然, 不同的数据位其宽度不同, 具体由进位决定。一个时间单位t即一行串行数据的传输时间,也即le信号的周期,其大小取决于屏宽的像素点数量和cp信号的频率。drin18 和dgin18 为红、绿数据输入信号,分别对应第1个16行点阵区到第8个16行点阵区。cpin为同步脉冲,一个脉冲对应一位数据,8个脉冲对应一个像素点的8位数据输入。h信号为行同步脉冲,一行数据输入结束,h信号有效一次。v为帧同步脉冲,一帧(16行)数据输入结束,v信号有效一次。上述信号均为前级系统提供的信号。fpga 外部接有两组高速静态ram(图中未画出),dra18、dga18为a 组r a m 的红、绿数据线,drb18、dgb18 为b 组ram 的红、绿数据线;/wra、/rda 为a 组的读、写控制信号,/wrb、/rdb 为b 组的读、写控制信号;aa016为a组的地址线,ab016为b组的地址线。使用两组ram 的目的是保证对ram的读写操作能同时进行。当写r a m ( a ) 时,读r a m ( b );当写ram(b)时,读ram(a)。二者的写/读切换由帧信号v控制。v每有效一次,就进行一次切换。cpin为前级系统提供的写脉冲,同时亦作为写地址生成电路的计数脉冲,计数地址范围为a0a16, 共128k字节, 其中a0a2为灰度位数据地址(决定访问8位的哪一位)。a 3 a 1 2 为x 方向的像素地址,a13a16 为y 方向的像素地址,即行地址。h 信号到来时, 清零a0a12,同时a13a16 地址加1。v 信号到来时,a0a12 及a13a16全部清零。上述地址作为ram的写地址。clk为读地址生成电路计数脉冲(外电路提供),计数地址范围亦为a0a16, 共128k 字节, 其中a 0 a 9 为x 方向的像素地址,a10a13 为y 方向的像素地址,即行地址。a14a16为灰度位数据地址。上述地址 作为ram的读地址,这些地址的变化规律应符合“19场原理”对地址要求的变化规律,即:a0a9计满后清零,产生一个行信号即le信号。le信号作为a10a13的计数脉冲,a10a13计满后清零,产生一个场信号。场信号作为a 1 4 a 1 6 的计数脉冲。不过,a14a16并不是一简单的二进制计数,其规律如表5所示。为了能正地读取写到ram中的数据,生成的读地址应按表6所示的方法与ram连接读写控制电路的作用是向两组ram提供读写控制信号,逻辑关系如表7所示。数据输入/输出电路的作用是切换数据的传输方向,如表8所示。帧切换电路的作用是产生上述电路所需要的切换信号s。实现的方法是,帧同步脉冲v每有效一次,s的逻辑状态翻转一次。帧切换电路保证了两组ram 随v 信号不断读写控制电路的作用是向两组ram提供读写控制信号,逻辑关系如表7所示。数据输入/输出电路的作用是切换数据的传输方向,如表8所示。帧切换电路的作用是产生上述电路所需要的切换信号s。实现的方法是,帧同步脉冲v每有效一次,s的逻辑状态翻转一次。帧切换电路保证了两组ram 随v 信号不断进行读写转换。灰度信号生成电路产生被控对象需要的e a 信号,它随读地址a14、a15、a16的状态而变化。逻辑关系如表9所示。依据帧周期tp=20ms,一帧=19场原理,可得如下计算结果: 场周期tv=tp/19=1.05ms; 行周期t=tv/16=66ms; 输出移位脉冲周期tcp=t/1024=64ns;输出移位脉冲频率fcp=1/t cp= 1 5 . 6 m h z。c l k 信号频率fclk=fcp=15.6mhz; 实际应用时,选取clk 时钟信号频率为16mhz。fpga的原理图如下图2.2.3.1 图2.2.3.1本课题采用altera公司cyclone系列的ep1c3t100c6的芯片.ep1c3t100c6是qfp型的封装快速的芯片具有2910个宏单远.基于查找表类型的fpga。查找表(look-up-table)简称为lut,lut本质上就是一个ram。 目前cyclone系列fpga中多使用4输入的lut,所以每一个lut可以看成一个有4位地址线的16x1的ram。 当用户通过原理图或hdl语言描述了一个逻辑电路以后,pld/fpga开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入ram,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。 cyclone系列fpga逻辑单元(le)内部结构如图2.2.3.2 图2.2.3.2fpga内部的le结构图fpga结构主要包括lab,i/o块,ram块和可编程行/列连线。在fpga中,一个lab包括8个逻辑单元(le),每个le包括一个lut,一个触发器和相关的相关逻辑。le是fpga芯片实现逻辑的最基本结构.ep1c3t100c6有足够的宏单元可以供我们使用.便于我们升级系统.3 硬件电路的设计 硬件电路的连接决定了软件的设置.本课题所设计的系统,以fpga为核心,单片机和pc机为辅助.系统包括三大部分,一部分是单片机和pc机的通讯部分,第二部分是fpga把单片机的发过来的一个字节的数据通过8场原理的转换为一个发光二级管的灰度控制.第三部分是fpga把存入内存里面的数据在读出.系统中fpga,单片机都可以根据数据量的多少在实际硬件焊接的时候用上合适的内存. 3.1 单片机硬件连接单片机的硬件连接分3部分,第一是和pc机通讯的连接,第二是和外部存储器的连接,还有就是和fpga的连接.3.1.1 单片机和pc机连接 在2.2.1节中介绍了已经详细的介绍了c8051f34x型单片机的特点,并介绍了单片机和pc机通讯的基本原理.所以硬件连接上单片机可以通过串口和pc机进行232通讯或者是485的通讯.图3.1.1是通讯部分的pcb原理图图3.1.1 单片机的通讯部分接线图3.1.2单片机和内存的连接由于从pc机过来的数据量比较大,所以内部的数据存储肯定是不够的,单片机外扩sram,sram有两种可以选择,一种是ut62256的内存,ut62256内存是utrom公司出的32k*8bit的低功耗cmos的sram(动态ram).另外一种是issi公司出的is61c1024l型具有128k*8bit高速度cmos的dram(静态ram).由于c8051f34x型的单片机内部有flash rom对于一般的容量已经足够了.所以单片机只需要外界sram或者dram.单片机外接sram或dram的 pcb如图3.1.2图3.1.2 单片机和内存连接原理3.1.3 单片机和fpga的连接电路单片机把接收过来的数据在传给fpga,中间靠单片机发送过来的写信号来切换读写,当写有效的时候就把单片机的数据发送过来存入内存.当写无效的时候.fpga就往内存里面读出数据.由于是直接的连接中间没有什么过度.所以连接图见上一章的图2.2.3.13.2 fpga模块的硬件连接fpga要把单片机发送过来的数据通过转换才能读到内存里面去,单片机是一个字节的数据发过来,而这一个字节的数据要转换为一个led点的数据所以存数据并不是单片机发送过来的一个字节的数据.软件的设计会在后面详细的说清楚.fpga的硬件连接就两部分,一部分是和内存的连接,还有一部分是和输出口的连接.3.2.1 fpga和内存的连接fpga要控制内存的读写的.什么时候该读什么时候该写数据.由于和fpga是直接的连接方式所以只有电气意义上的连接.fpga和内存的连接如图3.2.1.1 图3.2.1.1 fpga和内存连接原理图3.2.3 fpga输出的连接fpga的输出经过74hc245d使得带负载的能力加强了.最后在驱动面板上的led的能力就加强了.这样显示就不会有虚亮的现象.由于也是直接的的输出所以fpga部分在上一章就写出.而输出的硬件连接电路如图3.2.33.3 整体电路设计把整个电路连接起来就够成了整体的电路图,整体的pcb如图3.3.1 图3.3.1 整个硬件连接的pcb图 图3.2.3 fpga的输出部分原理图4 软件的设计软件设计中,fpga起着很重要的一步,它把单片机发过来的一个字节的数据经过转换先存入内存,在读出.由于不单能显示文字也要能显示动画所以fpga必须和单片机有方式的控制.规定方式位为psw.当为有效时发送文字,无效时发送动画.4.1 fpga存数据控制器的设计fpga的存数据控制器的设计在本课题中起着决定性的作用,它负责把单片机发过来的数据通过转换存入内存.图4.1.1为存数据控制器的设计图.这是由单片机所发送过来的数据经过fpga存入内存里面的部分,输入端口的说明.wr为单片机发送的写信号ad7.0为单片机发送过来的低八为地址信号和数据信号分时来复用ad16.0为单片机的高八位地址信号输出信号的说明.输出信号a16.0为写入到内存里面的地址信号,由单片机不同地址的数据信号来产生具体产生如图4.1.2图4.1.1 fpga的存数部分的软件设计图psw信号和psr信号是写入的方式选择和读出的方式选择信号,两者必须一致。shut-off为关屏信号有f9地址所产生的d0位所产生,一但产生关屏信号,显示屏幕没有显示。rc为q2.0的译码信号,write作为ff地址的写数据信号,也是内存的写入有效信号.同时在低电平时作为后面读数据模块的停止信号,停掉fpga的时钟.同时还是内存的地址切换信号.当为低电平的时候选择写入的地址.为高电平的时候选择读出的地址信号.es11.0为256级灰度存数据的打出信号它和写信号同步着.rester为复位信号,一但有效fpga立刻复位.在内部强制接低电平了.图4.1.2 fpga写入模块的设计图下面来介绍save_date模块的功能,save_date模块内部结构如图4.1.3save_date模块内部由八个这样的74595模块来组成,把单片机ff地址发送过来的一个字节的数据在存到内存去之前转换为由fpga扫描输出时候的一个点上的256级的灰度信号,以构成256级的灰度led的控制.74595的主要功能是串入并出,rclk的上升沿到来的时候有clk2所产生的数据移位信号打入到第二级的寄存器中,表2.2.3就是74595的功能图和74595的功能描述.gn为数据三态缓冲信号当为高电平的时候数据输出否者就为高阻态.clk2为前一模块中的write信号,在开始的写的八个数据数据中当写入第九个数据的时候打由wrm信号控制存入到内存里面一个数据,而wrm信号是在读数据模块中产生的,当write有效的时候wrm也就效选中内存,按照wradd模块所产生的地址来向内存里面存入一个字节的数据.当write无效的时候clk2不让74595产生移位后面的读数据模块就可以打开让存入到内存里面的数据由cpld/fpga读出来.图4.1.3 fpga存数据转换设计图4.2 fpga读出数据模块图4.2.1为fpga读出数据模块的总的结构图图4.2.1 fpga读出数据模块此图中的xtal是指fpga/cpld自己独有的一个时钟源,reset为复位信号,在前面的写模块里面强制的制零了.data7.0为从内存里面读出的数据.wr1为内存里面的写信号psr1.0为单片机在写入模块中所产生的方式信号和psw一致b16.0为cpld/fpga从单片既里面读出数据的地址信号.huee3.0为亮度控制信号也就是在前面逐位分时点亮控制工作原理中讲的让cpld/fpga从内存里面读出数据的时候让不同的数据在不同的时刻点亮.构成了一个点的不同灰度.以实现256级的不同灰度.high为列地址的时候的最高位,因为在存数据的时候往列存数据的时候有八个是空的所以high就是为了多出那八个数据而准备的.dg3.0为从内存里面扫描出来的串行输出绿色信号因为存进去是串入并出所以读出来就是并入串出db3.0为从内存里面扫描出来的黄色信号因为存进去是串入并出所以读出来就是并入串出dr3.0为从内存里面扫描出来的红色信号因为存进去是串入并出所以读出来就是并入串出595clk为在控制面板上面的595的串行时钟输出信号line2.0为颜色信号和控制并入串出的打入信号z4.0为列地址的信号light模块是控制颜色的亮度而设置的模块.oe信号是面板上的时间的开关信号信号也就是以前表上的开关信号当oe上升沿到来的时候led屏幕开始点亮.er是关断信号.当oe为低电平的时候关掉led点阵屏上的信号.str信号是做为换行的信号.扫描完一行数据的时候.接着往下一行扫描.addrmux模块是一个选择器用来选择内存里面的地址信号由谁来决定.当wr为低电平的时候选中wraddr模块中的单片机发过来所形成的写地址信号.当为高电平的时候选中addr模块中的地址信号.从内存中读出数据.a16.0为写内存的地址信号b16.0为读内存的地址信号.a_aeer16.0是内存的地址信号.结论在硬件设计的时候pcb的原理图上fpga的下载接口的焊盘太小.使得在下载程序的时候带来了一点困难.还有单片机内部的flash rom也很小.而且flash rom每次写入数据和擦除的过程中都有一断小的扇区.单片机没有对这部分进行考虑.在有就是可以把sram直接放到fpga里面去!这样fpga就成为了真正的soc系统。虽然外部的sram可以存储数据但是读写的频率不是高!没有完成一个真正的soc系统。软件的部分设计也不是太完美.只能用于一种扫描方式.当面板改变的时候.所有的程序的改动也很大.所以软件的设计只能适用于一种面板.也就是1/16扫描.致谢完成大学的最后一份设计,心里百感交集,几年以来的大学生活让我学到了许多东西 ,我从刚开始对电子的懵懂,而此时此刻心中许多的不舍,许多的留恋。在这个过程中,我经历了许多,历练了许多,得到了许多,也失去了许多。在即将走出校门的此刻,我要感谢许多人。首先最应该感谢的是我的父母,是他们含辛茹苦养育我二十载。 其次最应该感谢的是我的导师徐源老师。是徐源老师让我步入了电子设计的大门.虽然我在外面实习,但是徐源老师还是悉心指导我的学习,而且还在他工作之余来给我修改我的不足.在徐老师的大力支持和引导下,本次设计得以顺利完成。徐老师为人治学严谨,工作认真,对学生要求严格,但在严格要求的同时又不失对学生的关爱和理解,对我在前期设计过程中因故不能按时完成的一些事情给予了一定的宽容,这使我深深感受到了一位知识渊博的老师人格上的魅力。在徐老师这种品质的影响下,我不仅仅学习到了很多的专业知识,而且改变了自己对待学问得过且过的马虎态度,改变了自己拖拖拉拉的坏习惯,也学会了怎么样去理解他人。这些对我人生道路上给我很大帮助。再次对徐老师致以最诚挚的谢意。 感谢同门师弟师妹,让我们记住这难忘的求学岁月!也要感谢学校,感谢电 子系的所有的老师,是你们的辛苦汗水换来了我的今天.在一次的谢谢你们.参考文献1高有堂,徐源. eda技术使用教程. 北京:清华出版社出版,2003年8月2潘松. sopc实用教程. 北京:清华出版社出版,2004年5月3潘松. eda实用教程. 北京:清华出版社出版, 2003年8月4周立功,夏宇闻. 单片即与cpld终合应用技术 北京:北京航空航天大学出版社 2003年8月5罗杰,朱如琪. cpld/fpga与asic设计实践教程. 西安: 科学出版社 2004年5月6李朝清. 单片机原理及接口电路. 北京:北京航空航天大学出版社 2003年8月7eda先锋工作室. altera fpga/cpld 设计(基础篇). 北京:人民邮电出版社出版 2005年8月8eda先锋工作室. altera fpga/cpld 设计(高级篇). 北京:人民邮电出版社出版 2005年12月9侯伯亨,顾新. vhdl硬件描述语言与数字逻辑电路设计.西安:西安电子科技大学出版社 2005年8月 附录fpga控制的总程序vhdl的描述-总的程序-hxtcf01板子的cpld程序-library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity arm_isis is port(adr:in std_logic_vector(16 downto 8); -单片机的高八位地址 ad:in std_logic_vector(7 downto 0); -单片机发送过来的数据 wr :in std_logic;-单片机的写信号- ale:in std_logic;-单片机的地址锁存信号- rdm :in std_logic;-单片机的读信号- xtal:in std_logic; dr:out std_logic_vector(1 downto 0); -红色数据 dg:out std_logic_vector(1 downto 0); -绿色数据 db:out std_logic_vector(1 downto 0); -蓝色数据 a:out std_logic; b:out std_logic; c:out std_logic; d:out std_logic; oe: out std_logic; -开关信号 hstr:out std_logic; clk165: out std_logic; a_addr:out std_logic_vector(16 downto 0); date:inout std_logic_vector(7 downto 0); wea: out std_logic);-内存的写入end ;architecturefofarm_isis is- component write1 is port(wr:in std_logic;-单片机发过来的写信号 a: in std_logic_vector(16 downto 8);-单片机发过来的高九位的地址 d: in std_logic_vector(7 downto 0);-单片机的低八位地址和单片机的数据信号 addr :out std_logic_vector(16 downto 0);-ram里面的地址 es :out std_logic_vector(7 downto 0);-写入数据的595三态控
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 挂靠联营协议合同
- 各行业人才招聘量统计表
- 二手房房屋买卖合同书
- 矿用管路安装施工方案
- 萃取塔清洗施工方案
- 屋面台阶维修施工方案
- 衡水罐体铁皮保温施工方案
- 脑血管病用药项目风险识别与评估综合报告
- 安徽拼接式蓄水池施工方案
- 同花顺:2024年年度审计报告
- 高职高考数学复习第四章指数函数与对数函数4-3对数的概念及运算课件
- 全国计算机等级考试(NCRE) 计算机一级(MS Office)考前必背题库(含答案)
- 工地早班会活动记录表(普工、塔司、信号工)
- 2024解析:第十三章内能-基础练(解析版)
- 《selenium安装教程》课件
- 第47届世界技能大赛网络安全项目江苏省选拔赛-模块C样题
- 提高痰标本留取成功率 呼吸科QCC成果汇报品管圈护理课件
- 2024年辽宁省中考数学真题卷及答案解析
- 物业员工安全知识教育培训
- 动物性食品卫生检验教案2
- 现代家政导论-课件 3.2.1认识家庭生活质量
评论
0/150
提交评论