课程设计-基于FPGA的出租车计价器设计.doc_第1页
课程设计-基于FPGA的出租车计价器设计.doc_第2页
课程设计-基于FPGA的出租车计价器设计.doc_第3页
课程设计-基于FPGA的出租车计价器设计.doc_第4页
课程设计-基于FPGA的出租车计价器设计.doc_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于fpga的出租车计价器设计摘 要介绍了出租车计费器系统的组成及工作原理,简述了在eda平台上用fpga器件构成该数字系统的设计思想和实现过程。论述了计程模块,计费模块,计时模块,译码动态扫描模块等的设计方法与技巧。 1引言随着eda技术的高速发展,电子系统的设计技术发生了深刻的变化,大规模可编程逻辑器件cpldfpga的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。本文介绍了一个以altera公司可编程逻辑芯片cyclone2系列的ep2c5t144c8的fpga芯片为控制核心、附加一定外围电路组成的出租车计费器系统。随着社会的不断进步,人们生活水平的不断提高,出租车逐渐成为人们日常生活不可缺少的交通工具。而计价器作为出租车的一个重要组成部分,关系着出租车司机和乘客双方利益,起着重要的作用,因而出租车计价器的发展非常迅猛。2出租车计费系统的实验任务及要求2.1技术要求(1)掌握较复杂逻辑的设计、调试。(2)进一步掌握用vhdl语言设计数字逻辑电路。(3)掌握用max+pulsii软件的原理图输入的设计方法。2.2功能要求基本功能:(1)按行驶里程收费,起步价为9.00元,并在车行3公里后再按3元/公里计算车费。(2)实现模拟功能:能模拟汽车启动、停止。(3)设计动态扫描电路:将车费、里程、等待时间动态的显示出来。(4)用vhdl语言设计符合上述功能要求的出租车计费器,并用层次化设计方法设计该电路。(5)各计数器的计数状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。附加功能:(1)增加了晚上计费功能和等待功能。晚上起步价为12.00元,并在车行3公里后再按4元/公里计算车费。车白天停止超过三分钟后按1元/分钟计算,晚上超过3分钟按2元/分钟计算。(2)实现预置功能:能预置起步费、每公里收费、等待加费时间。(3)实现模拟功能:白天、黑夜;等待、行驶状态。(4)设计超过三公里提醒功能。2.3本人任务本人负责软件部分。2.4任务书(附录一)3.方案设计及原理框图3.1硬件方案设计及原理框图硬件系统组成框图开关电路fpga模块动态显示电路各模块的作用和组成:(1)开关模块该模块的作用是用于电路的输入的信号。主要有三个开关以及三个限流电阻,电源构成。(3)动态显示模块:此模块由六个数码管和三个二极管所构成,17个200电阻起到限制电流的作用,使得流到数码管的电流适当,防止数码管中的电流过大,而使得数码管损坏。数码管将计费、等待时间和里程动态的显示出来。3.2软件方案设计及原理框图3.2.1系统的顶层框图及方案设计:动态显示模块控制芯片信号输入 信号输入:各种控制信号经输入端给控制芯片。控制芯片:采用的有cpld或者fpga等。动态显示电路:采用的是数码管来实现功能的输出。3.2.2 fpga内部具体框图及方案设计:出租车的一般计费过程为:出租车载客后,启动计费器,整个系统开始运行,里程计数器从0开始计数,费用计数器从9开始计算;出租车载客中途等待,等待时间计数器从0开始计数。最后根据行驶里程或停止等待的时间的计费标准计费。出租车到达目的地停止后,停止计费器,显示总费用。根据出租车计费器的工作过程,本系统采用分层次、分模块的方式设计,其fpga内部具体框图如下所示。输入信号分频器车费计数模块车行驶状态译码模块输出控制模块里程计数模块各模块的功能:(1)由fpga晶振电路产生50mhz时钟信号并输入。(2)分频器:将时钟信号进行分频。(3)标志模块:将按钮产生的脉冲转化为一种标志信号。(4)计程模块:在等待信号未作用时,来一个时钟脉冲信号,里程值加1。该模块还包含一个路程计费标志的小模块,输出一个路程计费的信号。(5)等待状态模块:等待信号作用时,该模块可以记录等待的时间,并产生等待计费的信号。(6)车费计数模块:按行驶里程收费,分为白天和黑夜。白天收费标准:起步费为12.00元,超过3公里按4元/公里,车暂停超过三分钟按2元/分钟计算。黑夜收费标准:起步费为15.00元,超过3公里按5元/公里,车暂停超过三分钟按1元/分钟计算。(7)输出控制模块:分时输出里程、等待时间、费用三个信号,实现动态显示功能。(8)译码模块:实现将车费计数模块、等待状态模块和里程计数模块输出的bcd码转换成七段码输出。4.各单元模块设计,仿真结果及分析本系统采用层次化、模块化的设计方法,设计顺序为自下向上。首先实现系统框图中的各子模块,然后由顶层模块调用各子模块来完成整个系统。4.1分频模块:4.1.1分频模块的框图图3.1.1分频器的实体图此模块的功能是对总的时钟进行分频,总的时钟是50m。计数分频器使用五个这样基本的分频器(35分频)组合而成,控制模块分频器使用三个这样基本的分频器(35分频)组合而成。4.1.2分频模块的vhdl程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pulse is port(clk0:in std_logic; fout:out std_logic);end pulse;architecture one of pulse isbegin process(clk0) variable cnt:std_logic_vector(2 downto 0); variable full :std_logic; begin if clk0event and clk0=1 then if cnt=100 then cnt:=000 ; full:=1; else cnt:=cnt+1; full:=0; end if; end if;fout=full;end process;end one;4.1.3仿真的结果从该波形图可以看出输入脉冲的频率是输出脉冲的频率的35倍。4.2计程模块:4.2.1计程模块的框图:此模块的功能是计算出租车行驶的路程。在出租车启动并行驶的过程中(开始/结束信号ss为1,行驶/等待信号wr为1),当时钟clks是上升沿的时候,系统即对路程计数器jc的里程计数器进行加计数,当路程超过三公里时,系统将输出标志正脉冲lcjfbz。4.2.2计程模块的vhdl程序(1) 计程程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jc is port(clks,ss,wr:in std_logic; lc:buffer std_logic_vector(7 downto 0); end entity jc;architecture one of jc is signal q1,q0:std_logic_vector(3 downto 0);beginprocess(clks,ss,wr,lc) variable sw:std_logic_vector(1 downto 0); begin sw:=ss≀ if sw=00 or sw=01 then q1=0000;q0=0000; elsif sw=11 then q1=q1;q0=q0; elsif clksevent and clks=1 then if q1=9 and q0=9 then q1=0000;q0=0000; elsif q0=9 then q1=q1+1;q0=0000; else q1=q1;q0=q0+1; end if; end if;end process;(2) 计程标志程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lcjfbz is port(ss:in std_logic; -ss开始/复位信号, lc:in std_logic_vector(7 downto 0); lcjfbz:out std_logic); end entity lcjfbz;architecture two of lcjfbz is begin process(ss,lc) begin if ss=0 or (lc(7 downto 4)=0000 and lc(3 downto 0)4) then lcjfbz=0; else lcjfbz=1; end if; end process;end two;4.2.3计程模块仿真结果:从波形图可以看出在时钟的控制下当ss为低电平的时候lc为零,当ss为高电平且wr为高电平的时候lc开始计数,当计到大于三的时候输出了lcjfbz为高电平。4.3计时模块:4.3.1计时模块的框图:此模块用于计算停车等待的时间。在出租车行进中,如果车辆停止等待,计数器则在信号clk的上升沿进行加计数,当累计等待时间超过2(不包括2分钟)分钟时,输出标志ddjfbz正脉冲信号。4.3.2计时模块的vhdl程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ddzt is port(clk,ss:in std_logic; ddbz:in std_logic; ddjfbz:out std_logic; ddsj:out std_logic_vector(7 downto 0);end entity ddzt;architecture one of ddzt isbegin process(clk,ss,ddbz) variable q1,q0: std_logic_vector(3 downto 0); begin if ss=0 then q1:=0000;q0:=0000;ddjfbz0 or q03) then ddjfbz=1; end if; end if; end if; ddsj(7 downto 4)=q1;ddsj(3 downto 0)0); sout:=(others=0); for i in 0 to 1 loop -0-1的循环 sa(i) := (0 & ain(i*4+3 downto i*4)+(0 & bin(i*4+3 downto i*4)+(0000 & ci(i); if (sa(i)(4)=1) or (sa(i)(3 downto 0)9) then sb(i) := sa(i) + 00110; else sb(i) := sa(i); end if; ci(i+1) := sb(i)(4); sout(i*4+4 downto i*4):=sb(i); end loop; return sout;end function bcd_add8;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use work.packexp1.all;entity jf is port( ss:in std_logic; -开始/停止信号,低电平停止,高电平开始 dn:in std_logic; -白天黑夜控制,高电平夜间,低电平白天 lc:in std_logic_vector(7 downto 0); - 路程 ddsj:in std_logic_vector(7 downto 0); -等待时间 lcjfbz:in std_logic; -路程计费标志 ddjfbz:in std_logic; -等待计费标志,高电平时等待开始计费,低电平不计费 fy:out std_logic_vector(7 downto 0); -总费用end entity jf;architecture one of jf is begin - 讨论白天/黑夜?路程计费?等待计费? process(ss,dn,lc,ddsj,ddjfbz,lcjfbz) variable fy1 :std_logic_vector(11 downto 0); begin if ss=0 then fy1:=000000000000; elsif dn=0 then -白天时 if lcjfbz=0 then -起始价 fy1(11 downto 4):=(others=0); -9 fy1(3 downto 0):=1001; else -加收路程费 fy1:=bcd_add8(lc,lc); fy1:=bcd_add8(fy1,lc); -多一个脉冲加收3,则变成lc*3 end if; elsif lcjfbz=0 then -起始价 fy1(11 downto 5):=(others=0); fy1(4 downto 0):=10010; -12 else fy1:=bcd_add8(lc,lc); fy1:=bcd_add8(fy1,lc); fy1:=bcd_add8(fy1,lc); -每一个脉冲加收4,则变成lc*4 end if; if dn=0 then -白天时 if ddjfbz=0 then -未到等待收费时间 fy1:=fy1; -不加收 else -加收路程费 fy1:=bcd_add8(fy1,ddsj); fy1:=bcd_add8(fy1,ddsj); -一超过等待收费时间,就立即加收等待时的每个脉冲加2 end if; elsif ddjfbz=0 then -未到等待收费时间 fy1:=fy1; -不加收 else -加收路程费 fy1:=bcd_add8(fy1,ddsj); -一超过等待收费时间,就立即加收等待时的每个脉冲加1 end if; fydout1dout1dout1dout1dout1dout1dout1dout1dout1dout1dout1dout0dout0dout0dout0dout0dout0dout0dout0dout0dout0dout0=0000000;end case;end process;end one;4.5.3数码管显示模块的仿真结果:4.6控制模块:4.6.1控制模块的框图:控制模块用于对数码管里程、时间、费用显示的选择,起到位选的作用,实现了数码管动态显示,节省了芯片的资源。4.6.2控制模块的vhdl程序:(1)sel1模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sel1 is port(clk1:in std_logic; s1:out std_logic_vector(1 downto 0);end sel1;architecture sel_arc of sel1 isbegin process(clk1) variable cnt:std_logic_vector(1 downto 0);begin if clk1event and clk1=1 then if cnt=10 then cnt:=00; else cnt:=cnt+1; end if; end if;s1s2s2s2s2=zzz;end case;end process;end bbb_arc;4.6.3控制模块的仿真结果:(1)sel1模块(2)sel2模块从波形图可以看出当片选信号是00时,输出选择记程输出。当片选信号是01时,输出选择记费输出。当片选信号是10时,输出选择等到时间输出。5.顶层模块设计,仿真结果及分析各模块设计仿真实现后,可分别创建成元件符号。顶层就是将各分模块用vhdl语言或者是图形方法连接起来,便可实现系统电路。5.1顶层模块的vhdl程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity czc isport(clk,wr,ss,dn:in std_logic; dnpd:out std_logic; shuc1,shuc0:out std_logic_vector(6 downto 0); weix:out std_logic_vector(2 downto 0);end entity czc;architecture one of czc iscomponent bzport(aj:in std_logic; bz:out std_logic);end component;component pulse port(clk0:in std_logic; fout:out std_logic);end component;component ddzt port(clk,ss:in std_logic; ddbz:in std_logic; ddjfbz:out std_logic; ddsj:out std_logic_vector(7 downto 0);end component;component jcport(clks,ss,wr:in std_logic; lc:buffer std_logic_vector(7 downto 0);end component;component lcjfbzport(ss:in std_logic; lc:in std_logic_vector(7 downto 0); lcjfbz:out std_logic); end component;component jf port( ss:in std_logic; dn:in std_logic; lc:in std_logic_vector(7 downto 0); ddsj:in std_logic_vector(7 downto 0); lcjfbz:in std_logic; ddjfbz:in std_logic; fy:out std_logic_vector(7 downto 0); end component;component ymqport(din:in std_logic_vector(7 downto 0); dout1:out std_logic_vector(6 downto 0); dout0:out std_logic_vector(6 downto 0);end component;component xzscport(jc,jf,wt:in std_logic_vector(7 downto 0);sel:in std_logic_vector(1 downto 0);q:out std_logic_vector(7 downto 0);end component;component sel1port(clk1:in std_logic;s1:out std_logic_vector(1 downto 0);end component;component sel2port(sel2:in std_logic_vector(1 downto 0);s2:out std_logic_vector(2 downto 0);end component;signal a,b,c,d,e,f,i,j,m,n:std_logic;signal x,y,z,w:std_logic_vector(7 downto 0);signal k:std_logic_vector(1 downto 0); begindnpddn,bz=a);u2:bz port map(aj=ss,bz=b);u3:bz port map(aj=wr,bz=c);u4:pulse port map(clk0=clk,fout=d);u5:pulse port map(clk0=d,fout=i);u6:pulse port map(clk0=i,fout=j);u7:pulse port map(clk0=j,fout=m);u8:pulse port map(clk0=m,fout=n);u9:jc port map(clks=n,ss=b,wr=c,lc=z);u10:ddzt port map(clk=n,ss=b,ddbz=c,ddjfbz=f,ddsj=y);u11:lcjfbz port map(ss=b,lc=z,lcjfbz=e);u12:jf port map(ss=b,dn=a,lc=z,ddsj=y,lcjfbz=e,ddjfbz=f,fy=x);u13:xzsc port map(jf=x,jc=z,wt=y,sel=k,q=w);u14:sel1 port map(clk1=j,s1=k);u15:sel2 port map(sel2=k,s2=weix);u16:ymq port map(din=w,dout1=shuc1,dout0=shuc0);end architecture one;5.2波形仿真5.3输入、输出信号说明输入:dn:day or night控制;ss:start or stop控制;wr:wait or run控制;clk:输入时钟信号,模拟时间和路程。输出:dnpb:用于判别白天还是黑夜的输出信号,接至发光二极管,白天不发光,黑夜的时候发光。fy1:费用的十位fy0:费用的个位ddsj1:等待时间的十位ddsj0:等待时间的个位lc1:路程的十位lc0:路程的个位5.4各个模块的软件连线图(见附录二)6硬件电路设计与安装图6.1硬件电路设计图(见附录三)6.2硬件电路的元器件清单:器件名称及个数杜邦线若干根电阻200欧姆21个1k3个20脚底座3个插针若干个90123个发光二极管1个数码管6个按钮开关3个芯片fpga导线若干根7硬件电路安装与调试7.1硬件电路安装与调试的步骤(1)根据硬件电路图在通用板上布线(2)检查元器件的好坏,确保每一个元器件是好的才能进行焊接(焊接时要注意虚焊,短路等等)(3)焊好之后要根据安装图用万用表进行测量,防止电路存在错误(注意焊接要仔细)7.2调试过程中的困难(1)接入5伏电压之后,开关模块中有一个按钮不能起作用,通过万用表检测,发现有一个点没有连接上。(3)软硬件连接时,数码管显示乱码。我们反复检查程序后发现数码管ag的硬件引脚与软件引脚接反了。最后,我们重新连接了引脚,达到了预期的效果。8调试结果说明及分析dn不按下(即发光二极管不亮),说明是白天状态:(1)按下ss,计费数码管显示09,记程数码管开始变化。随着记程数码管显示的数值超过3公里后,计费数码管按超出每公里3元计算。(2)再按下wr,等待时间数码管开始计数,记程、计费数码管均保持不变,当等待时间超过3分钟后,计费数码管按超出每分钟2元计算,记程数码管仍保持不变。(3)再按wr,计费、记程数码管再次发生变化。(4)再按下ss清零。dn按下(即发光二极管发光),说明是黑夜状态:(1)按下ss,计费数码管显示12,记程数码管开始变化。随着记程数码管显示的数值超过3公里后,计费数码管按超出每公里4元计算。(2)再按下wr,等待时间数码管开始计数,记程、计费数码管均保持不变,当等待时间超过3分钟后,计费数码管按超出每分钟1元计算,记程数码管仍保持不变。(3)再按wr,计费、记程数码管再次发生变化。9收获体会经过两个星期的课程设计,我收益颇多。不仅增强了个人的实践能力,也增强了个人与团体的凝聚力,以及学会了在问题中不断探索,不断学习,不断创新的毅力。10.结束语本文介绍了一种全新的出租车计价器计费系统的fpga设计方法。如果将该设计再结合到实际应用中,那么,只需改变设计中计费要求,就可以应用到出租车上。另外,如果可实现任意输入该出租车计价器的计费标准的功能,那么,它的适用范围可能就更广泛了。11.参考文献:1 夏宇闻,verloghdl 数字系统设计教程,北京航空航天大学出版社。2 杜慧敏,基于veriloghdl的fpga设计基础,西安电子科技大学出版社。g an employment tribunal claimemployment tribunals sort out disagreements between employers and employees.you may need to make a claim to an employment tribunal if: you dont agree with the disciplinary action your employer has taken against you your employer dismisses you and you think that you have been dismissed unfairly.for more information about dismissal and unfair dismissal, seedismissal.you can make a claim to an employment tribunal, even if you haventappealedagainst the disciplinary action your employer has taken against you. however, if you win your case, the tribunal may reduce any compensation awarded to you as a result of your failure to appeal.remember that in most cases you must make an application to an employment tribunal within three months of the date when the event you are complaining about happened. if your application is received after this time limit, the tribunal will not usually accept it.if you are worried about how the time limits apply to you, take advice from one of the organisations listed underfurther help.employment tribunals are less formal than some other courts, but it is still a legal process and you will need to give evidence under an oath or affirmation.most people find making a claim to an employment tribunal challenging. if you are thinking about making a claim to an employment tribunal, you should get help straight away from one of the organisations listed underfurther help.if you are being represented by a solicitor at the tribunal, they may ask you to sign an agreement where you pay their fee out of your compensation if you win the case. this is known as adamages-based agreement. in england and wales, your solicitor cant charge you more than 35% of your compensation if you win the case.if you are thinking about signing up for a damages-based agreement, you should make sure youre clear about the terms of the agreement. it might be best to get advice from an experienced adviser, for example, at a citizens advice bureau. to find your nearest cab, including those that give advice by e-mail, click onnearest cab.for more information about making a claim to an employment tribunal, seeemployment tribunals.the (lack of) air up there watch mcayman islands-based webb, the head of fifas anti-racism taskforce, is in london for the football associations 150th anniversary celebrations and will attend citys premier league match at chelsea on sunday.i am going to be at the match tomorrow and i have asked to meet yaya toure, he told bbc sport.for me its about how he felt and i would like to speak to him first to find out what his experience was.uefa hasopened disciplinary proceedings against cskafor the racist behaviour of their fans duringcitys 2-1 win.michel platini, president of european footballs governing body, has also ordered an immediate investigation into the referees actions.cska said they were surprised and disappointed by toures complaint. in a statement the russian side added: we found no racist insults from fans of cska.age has reached the end of the beginning of a word. may be guilty in his seems to passing a lot of different life became the appearance of the same day; may be back in the past, to oneself the paranoid weird belief disillusionment, these days, my mind has been very messy, in my mind c

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论