数控直流稳压电源设计 毕业论文1.doc_第1页
数控直流稳压电源设计 毕业论文1.doc_第2页
数控直流稳压电源设计 毕业论文1.doc_第3页
数控直流稳压电源设计 毕业论文1.doc_第4页
数控直流稳压电源设计 毕业论文1.doc_第5页
已阅读5页,还剩31页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数控直流稳压电源设计 目 录 1 绪论 3 1.1 数控直流稳压电源系统概述 3 1.2 课题的提出 3 1.3 本课题设计意义及内容 4 2 系统总体设计及元器件选型 6 2.1 系统工作原理 6 2.2 系统方案设计 6 2.3 系统开发环境 7 2.4 系统关键技术 8 2.5 元器件选型 11 2.6 主要芯片介绍 .11 3 电源控制系统硬件设计 .21 3.1 单片机控制模块 .21 3.2 d/a 转换模块 21 3.3 键盘和显示模块 .22 3.4 数据存储模块 .22 3.5 电压放大模块 .23 3.6 稳压输出模块 .23 4 电路板板的手工制作 .24 4.1 pcb 板制作前期准备 24 4.2 产生网络表 .25 4.3 新建 pcb 文件并规划电路板 .25 4.4 载入元件封装与网络 .25 4.5 元件布局 .25 4.6 设置自动布线规则 .26 4.7 布线结果与 3d 效果图 26 5 系统程序设计 .27 5.1 系统整体程序设计方案 .27 5.2 系统程序详细设计 .28 6 调试及性能测试 .30 6.1 硬件调试 .30 6.2 软件调试 .31 6.3 性能测试 .31 6.4 测试结果 .31 7 总结与展望 .32 参考文献 .33 致 谢 词 .34 独 撰 声 明 35 摘 要: 本系统以直流电压源为核心,at89s52 单片机为主控制器,通过键盘来设置直流电源的 输出电压,设置步进等级可达 0.1v,输出电压范围为 09.9v,最大电流为 5a,并可由液晶屏显 示实际输出电压值。本系统由单片机程控输出数字信号,经过 d/a 转换器(dac0832)输出模拟 量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电压的变化而输出不同 的电压。本系统有两部分组成:硬件部分和软件部分.其中硬件部分包括:单片机电路、d/a 转换电 路、放大电路、数据存储电路、稳压输出电路、键盘电路和显示电路。软件部分包括:主程序、运 算控制程序、以及各功能实现模块的程序。实际测试结果表明,本系统实际应用于需要高稳定度小 功率恒压源的领域。 关键词:直流电压源 单片机 d/a 转换器 运算控制 cnc dc power supply design abstract:this system to dc voltage source as the core, mainly at89s52 scm, through the keyboard controller to install dc power supply output voltage, setting stepping class can reach.01v output voltage, the range of 0-9.9 v, the maximum current 330ma for, and can show the actual pipe by digital output voltage values. this system consists of microcontroller program output digital signal, through d/a converter (dac0832) output analog amplifier, through isolating amplifier output power, control of base, with the power to change the passive tube voltage output of different voltage. this system composed of two parts: hardware components and software components. among, hardware components include: microcontroller circuit, d/a conversion circuit, amplifier, data storage circuit, regulator output circuit,keyboard circuit and display circuit. software components include: main program, operational control procedures, and each program modules.test results show that this system application in need of high stability of small power constant-voltage source fields. key words: dc voltage source scm d/a converter operational control 1 1 绪论 1.1 数控直流稳压电源系统概述 随着电力电子技术的迅速发展,直流电源应用非常广泛,其好坏直接影响着电气设 备或控制系统的工作性能。目前,市场上各种直流电源的基本环节大致相同,都包括交 流电源、交流变压器、整流电路、滤波稳压电路等。本系统将单片机控制系统应用于 直流稳压电源的方法和原理,实现了稳压电源的数控调节,在宽输出电压下实现了 0.1v 步进调节,并分析了稳压工作原理和电压调节方法。该电源具有电压调整简便、电压输 出稳定、便于智能化管理等特点。 1.2 课题的提出 电源技术尤其是数控电源技术是一门实践性很强的工程技术,服务于各行各业。 电力电子技术是电能的最佳应用技术之一。当今电源技术融合了电气、电子、系统集 成、控制理论、材料等诸多学科领域。随着计算机和通讯技术发展而来的现代信息技 术革命,给电力电子技术提供了广阔的发展前景,同时也给电源提出了更高的要求。 随着数控电源在电子装置中的普遍使用,普通电源在工作时产生的误差,会影响整个 系统的精确度。电源在使用时会造成很多不良后果,世界各国纷纷对电源产品提出了 不同要求并制定了一系列的产品精度标准。只有满足产品标准,才能够进入市场。随 着经济全球化的发展,满足国际标准的产品才能获得进出的通行证。数控电源是从 80 年代才真正的发展起来的,期间系统的电力电子理论开始建立。这些理论为其后来的 发展提供了一个良好的基础。在以后的一段时间里,数控电源技术有了长足的发展。 但其产品存在数控程度达不到要求、分辨率不高、功率密度比较低、可靠性较差的缺 点。因此数控电源主要的发展方向,是针对上述缺点不断加以改善。单片机技术及电 压转换模块的出现为精确数控电源的发展提供了有利的条件。新的变换技术和控制理 论的不断发展,各种类型专用集成电路、数字信号处理器件的研制应用,到 90 年代, 己出现了数控精度达到 0.05v 的数控电源,功率密度达到每立方英寸 50w 的数控电源。 从组成上,数控电源可分成器件、主电路与控制等三部分。目前在电力电子器件方面, 几乎都为旋纽开关调节电压,调节精度不高,而且经常跳变,使用麻烦 数字化智能电源模块是针对传统智能电源模块的不足提出的,数字化能够减少生 2 产过程中的不确定因素和人为参与的环节数,有效地解决电源模块中诸如可靠性、智 能化和产品一致性等工程问题,极大地提高生产效率和产品的可维护性。 电源采用数字控制,具有以下明显优点: 易于采用先进的控制方法和智能控制策略,使电源模块的智能化程度更高,性能 更完美。 控制灵活,系统升级方便,甚至可以在线修改控制算法,而不必改动硬件线路。 控制系统的可靠性提高,易于标准化,可以针对不同的系统(或不同型号的产品), 采用统一的控制板,而只是对控制软件做一些调整即可。 系统维护方便,一旦出现故障,可以很方便地通过rs232接口或rs485接口或usb接 口进行调试,故障查询,历史记录查询,故障诊断,软件修复,甚至控制参数的在线 修改、调试;也可以通过modem远程操作。 系统的一致性好,成本低,生产制造方便。由于控制软件不像模拟器件那样存在 差异,所以,其一致性很好。由于采用软件控制,控制板的体积将大大减小,生产成 本下降。 易组成高可靠性的多模块逆变电源并联运行系统。为了得到高性能的并联运行逆 变电源系统,每个并联运行的逆变电源单元模块都采用全数字化控制,易于在模块之 间更好地进行均流控制和通讯或者在模块中实现复杂的均流控制算法(不需要通讯), 从而实现高可靠性、高冗余度的逆变电源并联运行系统。 1.3 本课题设计意义及内容 1.3.1 设计意义 几乎所有的电子设备都需要稳定的直流电源,因此直流稳压电源的应用非常的广泛。 直流稳压电源的电路形式有很多种,有串联型、开关型、集成电路、稳压管直流稳压 电源等等。在电子设备中,直流稳压电源的故障率是最高的(长期工作在大电流和大 电压下,电子元器件很容易损坏)但在直流稳压电源中,通过整流、滤波电路所获得的 直流电源的电压往往是不稳定的。输出电压在电网电压波动或负载电流变化时也会随 之有所改变。电子设备电源电压的不稳定,将会引起很多问题。设计出质量优良的直流 稳压电源,才能满足各种电子线路的要求。因此,直流稳压电源的研究就颇为重要。目 3 前产生直流稳压电源的方法大致分为两种:一种是模拟方法,另一种是数字方法。前者 的电路均采用模拟电路控制,而后者则是通过数字电路进行自动控制。直流稳压电源 朝着数字化方向发展。因此对于数控恒压源的研究是必要的。随着科学技术飞速发展, 对电源可靠性、输出精度和稳定性要求越来越高,利用 d/ a 转换器的高分辨率和单片 机的自动检测技术设计程控电源就显示出其优越性。程控电源既能方便输入和选择预 设电压值又具有较高精度和稳定性,而且可以任意设定输出电压或电流,所有功能由 面板上的键盘控制单片机实现,给电路实验带来极大的方便,提高了工作效率。 1.3.2 设计内容 本次设计的电源用 s52 系列单片机作为整机的控制单元,通过改变输入数字量来 改变输出电压值,从而使输出功率管的基极电压发生变化,间接地改变输出电压的大 小。采用软件方法来解决数据的预置以及电流的步进控制,使系统硬件更加简洁,各 类功能易于实现本系统以直流电源为核心,利用 s52 系列单片机为主控制器,通过键 盘来设置直流电源的输出电流,设置步进等级可达 0.1v,并可由数码管显示实际输出 电压值和电压设定值。利用单片机程控输出数字信号,经过 d/a 转换器(dac0832)输 出模拟量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电 电流的变化而输出不同的电压。 本直流稳压电源控制系统具体控制参数如下: 工作电压:2-6v(典型 5v) 工作电流:4.5ma(5v 时) 2.5ma(3v 时) 稳压输出值:09.9v 步进电压值:0.1v 输出纹波电压:10mv 输出电流:5a 针对基于 51 单片机的电源控制系统,本论文共分为七章: 第一章,绪论。简单介绍了本课题的背景知识、课题的提出以及研究内容和研究 意义。 第二章,系统总体设计及元器件选型。从总体上给出了该系统的设计原则、设计 思想、总体设计方案以及该系统中的关键技术,然后简单介绍了本系统的开发环境, 4 最后给出了完成系统所需要的元器件并对主要元器件的功能和用法进行了详细介绍。 第三章,电源控制系统硬件设计。分块对硬件电路进行了详细介绍并给出了相应 的电路图。 第四章,电路板的手工制作。详细介绍了电路板的制作流程,附加 pcb 板图。 第五章,系统程序的设计。详细分析系统主程序和各个子程序的设计思路,并给 出了流程图。 第六章,调试及性能分析。分别从硬件和软件两方面介绍了系统调试的步骤,并 简单对系统性能进行了分析。 第七章,总结与展望。对本文所做的工作进行了总结。进一步说明了主要的创新 点和所存在的不足之处,并提出了一些改进该系统的建议。 2 系统总体设计及元器件选型 2.1 系统工作原理 系统通过键盘设置步进值,送入主控单片机 at89s52。单片机分析处理后程控输 出数字信号,信号送入 d/a 转换器(dac0832) ,转化为模拟信号输出。输出的模拟信 号经过放大处理后,控制输出电压信号。最后信号送入 lcd 显示器显示出来。从而达 到对输出电压的数字恒压控制。 2.2 系统方案设计 为了使系统具有结构简单、性能可靠、性价比高的特点,本系统采用模块化设计, 共分为六个模块:单片机控制模块、d/a 转换模块、键盘控制模块、显示模块、放大模 块、数据存储模块,其系统设计方案框图如图 1 所示。 5 图 1 系统总体框图 2.3 系统开发环境 本系统的硬件电路图及 pcb 板布线制作均在 aitium designer 中完成,软件的编 写及调试过程在 keil c 中完成,最后通过编程器 sp200s 将编译好的程序烧录到单片 机中。现对系统的这三种开发工具进行简单介绍。 2.3.1 aitium designer aitium designer 拓展了 protel 软件的原设计领域,aitium designer 功能更加 完备、风格更加成熟,并且界面更加灵活,尤其在仿真和 pld 电路设计方面有了重大 改进,protel dxp 具有的功能它都有。aitium designer 是目前国内最流行的通用 eda 软件,它将电路原理图设 pcb 板图设计、电路仿真和 pld 设计等多个实用工具组合起 来构成 eda 工作平台,是 1 个将 eda 软件设计基于 windows 的普及型产品。 2.3.2 keil c 本系统所有程序均在 keil uvision3 下完成。keil 公司的 uvision3 整合式开发 环境是一套相当好用的 8051 开发软件,在整合式开发环境里,包括项目管理器 (project manager)、源程序编辑器(editor)、组译器(assembler)、编译器(compiler)、 链接器(linker/locator)、调试器(debugger)等,我们可从建立设计项目(project)开 始,然后编译源程序(c 语言或汇编语言)、编译、组译、链接,再进行调试,而调试就 是一种程序功能仿真。之后将编译生成的 hex 文件通过 sp200s 编程器烧录到单片机中 6 完成整个程序的编写。 2.3.3 sp200s 编程器 sp200s 编程器是伟纳电子继广受欢迎的 sp180s 编程器基础上改进设计的一款编程 器,直接使用 usb 接口通讯和供电,体积小巧,软件和硬件设计成熟,功能完善,是 目前唯一一款拥有专业编程控制软件的免费编程器。可以支持 atmel/winbond/sst 公 司常用的 mcs51 系列单片机,支持 atmel/microchip/st 等公司 24、93 系列串行存储 器。增强版还具有标准的 isp 下载接口,可支持 atmel 公司 mcs51 系列和 avr 系列单 片机在线下载编程(isp)。sp200s 编程器不但可以满足单片机爱好者和开发人员学习和 开发 51、avr 单片机使用需求,也非常适合家电维修人员烧写 93 系列、24 系列 eeprom 的需求。 2.4 系统关键技术 2.4.1 d/a 转换器原理 以四位转换器为例,图 2 为四位 d/a 转换原理图。 假设 d3、d2、d1、d0 全为 1,则 bs3、bs2、bs1、bs0 全部与“1”端相连。根据 电流定律,有: rvi4ef232rvi4ef121 0103f3 7 图 2 四位 d/a 转换原理图 由于开关 bs3 bs0 的状态是受要转换的二进制数 d3、d2、d1、d0 控制的,并 不一定全是“1” 。因此,可以得到通式: 0123out idiidi rv4ef2)( 考虑到放大器反相端为虚地,故: 选取 rfb = r ,可以得到: 对于 n 位 d/a 转换器,它的输出电压 vout 与输入二进制数 b( dn-1 d0) 的关系式 可写成: 2.4.2 d/a 转换器的性能指标 d/a 转换器性能指标是衡量芯片质量的重要参数,也是选用 d/a 芯片型号的依据。 主要性能指标有: 分辨率 分辨率-是指 d/a 转换器能分辨的最小输出模拟增量,即当输入数字发生单位数 码变化时所对应输出模拟量的变化量,它取决于能转换的二进制位数,数字量位数越 多,分辨率也就越高 。其分辨率与二进制位数 n 呈下列关系: 分辨率 = 满刻度值/(2 n-1)= vref / 2n 转换精度 转换精度-是指转换后所得的实际值和理论值的接近程度。它和分辨率是两个不 同的概念。例如,满量程时的理论输出值为 10v,实际输出值是在 9.99v10.01v 之间, 其转换精度为10mv。对于分辨率很高的 d/a 转换器并不一定具有很高的精度。 偏移量误差 outrfbii4ref0123fout 2)( vddivf nnn vv 2)22( ref011out 8 偏移量误差-是指输入数字量时,输出模拟量对于零的偏移值。此误差可通过 d/a 转换器的外接 vref 和电位器加以调整。 稳定时间 稳定时间-是描述 d/a 转换速度快慢的一个参数,指从输入数字量变化到输出模 拟量达到终值误差 1/2lsb 时所需的时间。显然,稳定时间越大,转换速度越低。对于 输出是电流的 d/a 转换器来说,稳定时间是很快的,约几微秒,而输出是电压的 d/a 转换器,其稳定时间主要取决于运算放大器的响应时间。 2.4.3 dac0832 工作原理 dac0832 的原理框图及引脚如图 3 所示。 图 3 dac0832 工作原理图 2.4.4 放大器工作参数 放大器由同相比例运算电路组成。将反相比例运算电路中的输入端和接地端互换, 就得到同相比例运算电路,如图所示。电路引入电压串联负反馈,故运放工作在线性 区。根据“虚短”和“虚断”的概念,集成运放的净输入电压为零。即 说明集成运放有共模输入电压。净输入电流为零(即 ) ,因而 ,即 9 表明 与 同相且大于 。 同相比例运算电路具有高输入电阻、低输出电阻的优点,但有共模输入,所以为 了提高运算精度,应当选用高共模抑制比的集成运放。 2.5 元器件选型 按照本课题的研究内容和系统的功能要求,在精心计算下,特选择表 2 中的元件 完成此系统。 表 1 元件清单 名称 型号 数量 名称 型号 数量 单片机 at89s52 1 片 九针排阻 1 个 d/a 转换器 dac0832 1 片 电阻 若干 数据存储器 at24c02 1 个 发光二极管 2 个 液晶显示 lcd-smc1602a 1 个 按键 4 个 放大器 lm324 1 个 电容 若干 三极管 pnp 1 个 导线 若干 2.6 主要芯片介绍 2.6.1 单片微型计算机 at89s52 本系统的上、下位机均采用单片微型计算机(single chip microcomputer )at89s52 单片机。at89s52 是一种低功耗、高性能 cmos8 位微控制器,具有 8k 在系统可编程 flash 存储器。使用 atmel 公司高密度非易失性存储器技术制造,与工业 80c51 产品指 10 令和引脚完全兼容。片上 flash 允许程序存储器在系统可编程,亦适于常规编程器。 其引脚图如图 4 所示。at89s52 的主要性能特点如下: 与 mcs-51 单片机产品兼容。 8kb flash rom,可以檫除 1000 次以上,数据保存 10 年。 256 字节内部 ram。 电源控制模式 时钟可停止和恢复; 空闲模式; 掉电模式。 图 4 at89s52 引脚图 全静态操作:0hz33hz。 三级加密程序存储器。 32 个可编程 i/o 口。 三个 16 位定时器/计数器。 八个中断源。 11 全双工 uart 串行通道。 看门狗定时器。 双数据指针。 因上、下位单片机间的串行通信在本系统中举足轻重,故在此对 at89s52 的串行 口进行详细介绍。 串行通信接口及功能 at89s52 内部有一个可编程的全双工异步通信接口,可以同时进行数据的发送或接 收,既可作为通用异步接收和发送器 uart,也可作为同步移位寄存器,能方便地组成 双机、多机串行通信接口。 串行口的组成结构如图 5 所示,它主要由两个在物理上独立的(但使用一个地址) 串行数据缓冲器 sbuf,波特率发生器(用定时器 t1 实现) ,并通过累加器 acc 实现。 片外有两根串行口接收输入线 rxd 和串行口发送输出线 txd 用来完成全双工异步串行 通信的功能。 图 5 串行口结构图 由图可见,sbuf 有两个独立的发送缓冲器和接受缓冲器。发送缓冲器只能用 cpu 指令写入而不能读出,接受缓冲器只能 cpu 指令读出而不能写入。它们只占用片内 ram 区 sfr 的一个公用地址 99h(名称 sbuf) ,是靠 cpu 所用指令决定对哪一个缓冲器进行 操作。 串行口对外也有两条独立的收、发信号线 rxd(p3.0)和 txd(p3.1) ,可以同时 发送、接收数据,实现串行异步全双工传送。 12 使用串行口以后,串行通信的收发工作主要由串行接口完成。在发送时,cpu 通过 累加器 acc 由一条写发送缓冲器的指令,将 acc 中的数据写入串行口发送缓冲器,然 后由串行口一位一位通过 txd 引脚向外发送。与此同时,另一台单片机的串行口接受 端也可以一位一位地接受数据,直到把一个字符数据接受完后,通知 cpu,在用另一条 指令把接收缓冲器的内容读入到累加器 acc 中。由此可见,在整个串行收、发过程中, 占用 cpu 工作的时间很少,大大提高了 cpu 的使用效率。 串行通信接口的工作控制 at89s52 串行口的工作主要由两个 sfr 来控制。一个是串行口控制寄存器 scon(series control) ,scon 用来设定串行口的 4 种工作方式,接收、发送控制及设 置状态标志。二是电源控制寄存器 pcon(power control) ,其中与串行口工作有关的 只有一位 d7(smod)为波特率选择控制位。 串行端口控制寄存器(serial port control register,简称 scon)是一个 8 为、 可寻址的寄存器,如表 2 所示其功能是设定与控制串行端口。对其中的几位说明如下。 表 2 scon 寄存器 sm0 sm1 sm2 ren tb8 rb8 ti ri sm0 与 sm1 这两位的功能是设定串行端口的模式,如表 3 所示。表 3 的 4 种工作方式,串行 通信只使用了方式 1、2、3。方式 0 主要用来扩展输入、输出口。osc 为单片机的时钟 频率 表 3 串口工作方式 sm1 sm0 mode 功能简介 比特率 0 0 0 移位寄存器 osc/12 0 1 1 8 位 uart 可变 13 1 0 2 9 位 uart osc/32 或 osc/64 (续表) 1 1 3 9 为 uart 可变 ti 本位为传送中断标志位,当中断结束时,本位并不会恢复为 0,必须由软件清除。 mode 1、mode 2 或 mode 3 时,若完成传送停止位,则本位自动设定为 1,并产生 ti 中断。mode 0 时,若完成传送第 8 位,则本位自动设定为 1,并产生 ti 中断。 ri 本位为接收中断标志位,当中断结束时,本位并不会恢复为 0,必须由软件清除。 mode 1、mode 2 或 mode 3 时,若完成接收到停止位,则本位自动设定为 1,并产生 ri 中断。mode 0 时,若完成接收第 8 位,则本位自动设定为 1,并产生 ri 中断。 2.6.2 dac0832 dac0832 工作原理 本系统的 d/a 转换器采用 dac0832。dac0832 是一个 8 位 d/a 转换器。单电源供 电,从 +5v +15v 均可正常工作。基准电压的范围为 10v ;电流建立时间为 1 s ;cmos 工艺,低功耗 20mw 。 dac0832 转换器芯片为 20 引脚,双列直插式封装,其 引脚排列如图 6 所示。dac0832 内部结构框图如图 7 所示。 该转换器由输入寄存器和 dac 寄存器构成两级数据输入锁存。使用时数据输入可 以采用两级锁存(双锁存)形式,或单级锁存(一级锁存,一级直通)形式,或直接 输入(两级直通)形式。 此外,由三个与门电路组成寄存器输出控制逻辑电路,该逻辑电路的功能是进行 数据锁存控制,当=0时,输入数据被锁存;当=1时,锁存器的输出跟随输入的数据。 d/a 转换电路是一个 r-2r t 型电阻网络,实现8位数据的转换。对各引脚信号说明如下: v cc 芯片电源电压, +5v+15v vref 参考电压, -10v+10v 14 rfb 反馈电阻引出端, 此端可接运算放大器输出端 agnd 模拟信号地 dgnd 数字信号地 di7 di0 数字量输入信号,其中: di0为最低位,di7为最高位 图6 dac0832引脚图 图7 dac0832内部结构图 ile 输入锁存允许信号, 高电平有效 15 cs 片选信号, 低电平有效 wr1 写信号1,低电平有效 当 ile、cs、wr1同时有效时, le=1,输入寄存器的输出随输入而变化 wr1 , le=0, 将输入数据锁存到输入寄存器。 xfer 转移控制信号,低电平有效 wr2 写信号2,低电平有效 当 xfer、wr2同时有效时, le2=1,dac 寄存器输出随输入而变化; wr1 , le=0, 将输入数据锁存到 dac 寄存器,数据进入 d/a 转换器,开始 d/a 转换。 iout1 模拟电流输出端1 当输入数字为全”1”时, 输出电流最大,约为: 255vref / 256rfb;全”0”时, 输出电流为0。 iout2 模拟电流输出端2 iout1 + i out2 = 常数 dac0832与微机系统的连接 单缓冲工作方式: 所谓单缓冲方式就是使0832的两个输入寄存器中有一个处于直通方式,而另一个 处于受控的锁存方式,或者说两个输入寄存器同时受控的方式。在实际应用中,如果 只有一路模拟量输出,或虽有几路模拟量但并不要求同步输出的情况,就可采用单缓 冲方式,此时只需一次写操作,就开始转换,可以提高 d/a 的数据吞吐量。连接方式 如图8所示。 16 图8 dac0832单缓冲工作方式 双缓冲工作方式: 所谓双缓冲方式,就是把 dac0832的两个锁存器都接成受控锁存方式,其连接方式 如图9所示。 图9 dac0832双缓冲工作方式 由 于 两 个 锁 存 器 分 别 占 据 两 个 地 址 , 因 此 在 程 序 中 需 要 使 用 两 条 传 送 指 令 , 才 能 完 成 一 个 数 字 量 的 模 拟 转 换 。 假 定 输 入 寄 存 器 地 址 为 feh, dac 寄 存 器 地 址 为 ffh。 则 完 成 一 次 数 /模 转 换 的 程 序 段 如 下 : mov r0, #0feh ; 装 入 输 入 寄 存 器 地 址 movx r0, a ; 转 换 数 据 送 输 入 寄 存 器 inc r0 ; 产 生 dac 寄 存 器 地 址 movx r0 , a ; 数 据 通 过 dac 寄 存 器 最 后 一 条 指 令 , 表 面 上 看 来 是 把 a 中 数 据 送 dac 寄 存 器 , 实 际 上 这 种 数 据 转 送 并 不 真 正 进 行 , 该 指 令 只 是 起 到 打 开 dac 寄 存 器 使 输 入 寄 存 器 中 数 据 通 过 的 作 用 , 数 据 通 过 后 就 去 进 行 d/a 转 换 。 2.6.3 at24c02 芯 片 概 述 17 at24c02是 一 个 2k 位 串 行 cmos e2prom, 内 部 含 有 256个 8位 字 节 , catalyst 公 司 的 先 进 cmos 技 术 实 质 上 减 少 了 器 件 的 功 耗 。 at24c02有 一 个 16字 节 页 写 缓 冲 器 。 该 器 件 通 过 ic 总 线 接 口 进 行 操 作 , 有 一 个 专 门 的 写 保 护 功 能 。 管 脚 配 置 , 如 图 10。 图 10 管 脚 封 装 极 限 参 数 工 作 温 度 工 业 级 -55 +125 商 业 级 0 +75 贮 存 温 度 -65 +150 各 管 脚 承 受 电 压 -2.0 vcc+2.0v vcc 管 脚 承 受 电 压 -2.0 +7.0v 封 装 功 率 损 耗 ( ta=25 ) 1.0w 焊 接 温 度 (10 秒 ) 300 输 出 短 路 电 流 100ma 表 4 可 靠 性 参 数 符号 参数 最小 最大 单位 参考测试模式 nend 耐久性 1,000,000 周期/字节 mil-std-883 测试方法 1033 tdr 数据保存时间 100 年 mil-std-883 测试方法 1008 vzap esd 2000 v mil-std-883 测试方法 3015 ilth 上拉电流 100 ma jedec 标准 17 18 功 能 描 述 at24c02 支 持 ic, 总 线 数 据 传 送 协 议 ic, 总 线 协 议 规 定 任 何 将 数 据 传 送 到 总 线 的 器 件 作 为 发 送 器 。 任 何 从 总 线 接 收 数 据 的 器 件 为 接 收 器 。 数 据 传 送 是 由 产 生 串 行 时 钟 和 所 有 起 始 停 止 信 号 的 主 器 件 控 制 的 。 主 器 件 和 从 器 件 都 可 以 作 为 发 送 器 或 接 收 器 , 但 由 主 器 件 控 制 传 送 数 据 ( 发 送 或 接 收 ) 的 模 式 , 通 过 器 件 地 址 输 入 端 a0、 a1 和 a2 可 以 实 现 将 最 多 8 个 at24c02 器 件 连 接 到 总 线 上 。 管 脚 描 述 表 5 管 脚 描 述 管脚名称 功能 a0 a1 a2 器件地址选择 sda 串行数据/地址 scl 串行时钟 wp 写保护 vcc +1.8v 6.0v 工作电压 vss 地 scl 串 行 时 钟 at24c02串 行 时 钟 输 入 管 脚 用 于 产 生 器 件 所 有 数 据 发 送 或 接 收 的 时 钟 , 这 是 一 个 输 入 管 脚 。 sda 串 行 数 据 /地 址 at24c02 双 向 串 行 数 据 /地 址 管 脚 用 于 器 件 所 有 数 据 的 发 送 或 接 收 , sda 是 一 个 开 漏 输 出 管 脚 , 可 与 其 它 开 漏 输 出 或 集 电 极 开 路 输 出 进 行 线 或 ( wire-or) 。 a0、 a1、 a2 器 件 地 址 输 入 端 这 些 输 入 脚 用 于 多 个 器 件 级 联 时 设 置 器 件 地 址 , 当 这 些 脚 悬 空 时 默 认 值 为 0。 当 使 用 at24c02 时 最 大 可 级 联 8个 器 件 。 如 果 只 有 一 个 at24c02被 总 线 寻 址 , 19 这 三 个 地 址 输 入 脚 ( a0、 a1、 a2 ) 可 悬 空 或 连 接 到 vss, 如 果 只 有 一 个 at24c02 被 总 线 寻 址 这 三 个 地 址 输 入 脚 ( a0、 a1、 a2 ) 必 须 连 接 到 vss。 wp 写 保 护 如 果 wp 管 脚 连 接 到 vcc, 所 有 的 内 容 都 被 写 保 护 只 能 读 。 当 wp 管 脚 连 接 到 vss 或 悬 空 允 许 器 件 进 行 正 常 的 读 /写 操 作 。 3 电源控制系统硬件设计 按照系统的设计方案,本系统的硬件电路也采用分块设计,现对其分块进行详细 介绍。 3.1 单片机控制模块 此模块是整个系统的“大脑” ,主要负责电压信号的控制输出。如图 11。 图 11 单片机控制电路 3.2 d/a 转换模块 此模块负责将单片机控制输出的数字信号转化为模拟信号输出。如图 12。 20 图 12 d/a 转换模块 3.3 键盘和显示模块 图 13 键盘控制模块 图 14 显示模块 3.4 数据存储模块 21 此模块主要负责将 s52 主控芯片处理的数据存储起来。如图 15。 图 15 数据存储模块 3.5 电压放大模块 这个模块应用反相比例运算电路来实现。如图 16 所示。 图 16 同相比例运算电路 3.6 稳压输出模块 为了使系统输出稳定的电压,加上一个稳压输出电路。电路如图 17 所示。 22 图 17 稳压输出电路 至此,系统的分块设计完成,可以进行整合。 4 电路板板的手工制作 4.1 pcb 板制作前期准备 4.1.1 绘制原理图 23 图 18 系统整体电路图 4.1.2 确定合适的原件封装 确定元件封装虽然是在原理图绘制过程中完成,但对于 pcb 板的制作至关重要。 pcb 板中载入的 pcb 元件就是根据原理图中确定的引脚封装,从封装库中调出而形成的, 因此原理图元件、原理图元件的连接关系和 pcb 的引脚封装、pcb 板铜箔走线是一一对 应的,只是二者的表达方式和侧重点不同而已,原理图采用“原理图符号”和清晰明 了的连线来表达电路的工作原理和信号处理过程,重点在于表达电路的结构、功能, 便于电路讲解和分析。pcb 板通过 “引脚封装” 和实际铜箔导线来实现原理图的具体 功能,重点在于元件的安装、焊接、调试等,所以在由原理图绘制逐步转入 pcb 板设 计时,必须以原理图为依据,接合原理图综合考虑 pcb 元件的布局和布线。 4.1.3 更改元件引脚封装 4.2 产生网络表 在 protel 的前期版本(如 protel 98)中,网络表是原理图和 pcb 板之间的联系 24 纽带,正是通过网络表,pcb 编辑器才能从封装库中调入和原理图元件相对应的 pcb 元 件引脚封装,才知道各封装焊盘之间的相互连接关系。而在 altium designer 中,并 不一定要通过载入网络表才能调入 pcb 元件封装和网络,但读者可以通过网络表查看 各元件编号、参数是否正确,封装是否合适,元件之间的网络连接关系是否正确等。 4.3 新建 pcb 文件并规划电路板 必须根据元件的多少、大小,以及电路板的外壳限制等因素确定电路板的尺寸大小, 除用户特殊要求外,电路板尺寸应尽量满足电路板外形尺寸国家标准 gb9316-88 的规 定。 在确定电路板的尺寸大小后,就可新建 pcb 文件,并规划电路板了,规划电路板有二 种方法:一种方法采用 pcb 板向导规划,此方法快捷,易于操作,是一种较为常用的 方法。另一种为新建 pcb 文件后,在机械层手工绘制电路板边框,在禁止布线层手工 绘制布线区,标注尺寸,该方法比较复杂,但灵活性较大,可以绘制较为特殊的电路 板。 4.4 载入元件封装与网络 altium designer 实现了真正的双向同步设计,元件封装和网络信息即可通过在原 理图编辑器中更新 pcb 文件来实现,也可通过在 pcb 编辑器中导入原理图的变化来实 现。本系统是利用系统提供的同步功能更新 pcb 编辑器的封装和网络。 4.5 元件布局 元件布局有二种方法,一种为自动布局,该方法利用 pcb 编辑器的自动布局功能, 按照一定的规则自动将元件分布于电路板框内,该方法简单方便,但由于其智能化程 度不高,不可能考虑到具体电路在电气特性方面的不同要求,所以很难满足实际要求; 另一种为手工布局,设计者根据自身经验、具体设计要求对 pcb 元件进行布局,该方 法取决于设计者的经验和丰富的电子技术知识,可以充分考虑电气特性方面的要求, 但需花费较多的时间。本系统采用二者结合的方法,先自动布局,形成一个大概的布 局轮廓,然后根据实际需要再进行手工调整。 4.6 设置自动布线规则 25 布线也有二种方式:自动布线和手工布线,与自动布局和手工布局一样,各有各 的优缺点,自动布线方便快捷,但不一定满足电气特性方面的要求。手工布线要求布 线者具有较丰富的实际经验,且工作量较大,耗时较多。所以本系统采用二者结合的 方法,先进行自动布线,然后手工修改不合理的导线,甚至可以采用先预布一定导线 锁定后,再采取自动布线与手工调整相结合的方法。 4.7 布线结果与 3d 效果图 图 19 布线图 26 图 20 3d 效果图 5 系统程序设计 5.1 系统整体程序设计方案 为了与系统整体模块化设计相符,本系统的程序设计也采用分块设计,其整体程 序框图如图 20 所示。系统初始化。从存储芯片 at24c02 中读取数据。将存储器重的数 据读出显示,并送入 d/a 转换器中。扫描键盘,查看输入的步进电压值,送入到 s52 处理芯片中。s52 单片机初始化后调用按键子程序判断是否有手动控制信号输入,然后 通过调用串行通信接收子程序读取数据并送显示,同时作出判断是否应该执行相应的 输出控制,一次完整的巡回检测完成。 27 图 21 系统整体程序框图 5.2 系统程序详细设计 5.2.1 初始化程序 初始化程序主要负责程序上电后的设置工作,主要功能是设置单片机的定时器 t0、t1 的工作方式及初值;串行通信方式;开中断等。 5.2.2 主程序 主程序主要是对存储器数据进行读取和扫描键盘。调用 d/a 转换子程序,调用串 行通信子程序。单片机主程序主要负责接收存储器传送过来的数据并通过 lcd 进行实 时显示,同时与原先内部设定的参数值进行比较处理并根据比较的结果对执行机构发 出相应的信号,并将数据进行存储,其程序流程图如图 21 所示。 28 图 22 单片机程序流程图 5.2.3 按键子程序 按键子程序主要是用来检测是否有按键按下,并根据不同的信号作出不同的调整, 。当按键子程序开始运行时,就要给出一个判断信号,判断是否有按键按下,如果有 就延时然后再进行检测,如果还是检测到有按键按下再延时后就可以进行按键分析了, 这样做的主要目的是为了防按键抖动。本系统设有 3 个按键,主要用来设置电压步进 值的加减,因此在确定有按键按下后要对其进行分析,根据不同的信号作出不同执行 不同的程序。按键子程序流程如图 22 所示。 29 图 23 按键子程序流程图 6 调试及性能测试 6.1 硬件调试 本系统采用的是模块化设计,因此在硬件调试时我们也采用分块调试,在所有模 块都没有问题后进行再配合主程序进行总体调试。调试的过程主要分以下几个部分: 将数字万用表调到二极管档,检测电路板上是否存在短路现象,若听见“滴滴” 声表明存在短路。经测试,电路板没有短路后可以上电检测。 上电后用万用表测量电路中的供电电压是否在 5v 左右。若供电电压不够,先检查 变压器是否正常工作,然后再检查桥式整流电路是否正确,最后再检查 7805 是否能正 常工作即可。 检测单片机的最小系统是否能正常工作。这里是检测晶振是否起振和复位电路能 否正常工作。上电后,用万用表测量单片机的 18、19 脚(晶振的两只脚)的电压,如果 显示的电压在

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论