版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、DC综合流程,综合概述 技术库概述 综合基本步骤,提纲,综合概念, 逻辑综合:决定设计电路逻辑门的相互连接。 逻辑综合的目的:决定电路门级结构、寻求时序和与面积的平衡、寻求功 耗与时序的平衡、增强电路的测试性。 逻辑综合的过程:Translation 、map 、optimization Translation是指把设计的HDL描述转化为GTECH库元件组成的逻辑电路 Mapping是指将GTECH库元件映射到某一特定的半导体工艺库上,此时 的电路网表包含了相关的工艺参数 Optimization是根据设计者设定的时延、面积、线负载模型等综合约束条 件对电路网表进一步优化的过程,综合库,综合库
2、中定义了operation condition、wire_load model、Gate(输入输出,功能,时序参数,面积等等); operating_conditions(typical) process: 1; temperature: 25; voltage: 1.8; tree_type: balanced_tree wire_load(smic18_wl10) resistance: 8.5e-8; capacitance: 1.5e-4; area: 0.7; slope: 66.667; fanout_length(1,66.667); ,综合步骤,综合步骤1:源文件准备,源文件的
3、准备主要是检查rtl的书写规范,时钟域划分是否合理,一般有专业的工具进行检查,综合步骤2:指定综合库, 目标库设定使用target_library和link_library变量来指定符号库。 符号库设定使用symbol_library变量来指定符号库。 target_library:综合用的目标库,它一般是由生产线提供的工艺相关的库,如slow.db是smic0.18um最坏情况下的目标库。 synthetic_library:综合库,它包含了一些可综合的与工艺无关的IP。 link_library:链接库,DC不使用该库中的cells进行映射,它是DC在读入综合后网表时用来参考的库,RAM,
4、ROM,PAD,macros都应包含在这个库,目标库和综合库也必须包含在其中。 symbol_library:指定的符号库,使用GUI时会调用。 search_path:指明库文件的位置,这样在添加上面的库时就不用写绝对路径。 set target_library typical.db sp018N.db“,综合步骤3:读入源码,read与analyze 2、Top的关键路径也许在子模块并非关键路径; 3、需要incrementally编译。,综合步骤6:设计约束,设计约束包括rule constraints和optimization constraints两种 rule contraints
5、: 1、set_max_transition: 2、set_fanout_load:对design,net,output port进行操作,设定的不是具体的电容值 3、set_max_capacitance:定义输出管脚可以驱动的最大电容值 optimization contraints: 1、create_clock:用于定义时钟的周期和波形 2、set_input_delay:定义信号相对于时钟的到达时间 3、set_output_delay:定义从输出端口数据不可用开始距离后一个时钟沿的时间,综合步骤7:优化设计,1、多次使用同一模块进行综合时,有两种方法解决同一模块多次使用的问题:un
6、iquify方法与compile-once-dont-touch方法。 Uniquify方法操作步骤: 1、uniquify设计; 2、compile设计。 dc_shell current_design top dc_shell uniquify dc_shell compile,综合步骤7:优化设计,1、 compile-once-dont-touch方法操作步骤: 1、charactirize 环境最差的一个子模块; 2、在此环境下综合此模块; 3、使用set_donot_touch命令设置所有的此模块例化得模块; 4、综合; dc_shell current_design top dc
7、_shell characterize U2/U3 dc_shell current_design C dc_shell compile dc_shell current_design top dc_shell set_dont_touch U2/U3 U2/U4 dc_shell compile,综合步骤7:优化设计,2、综合 利用compiler命令启动Design Compiler的综合和优化进程,有几个可选的编译选项。特别的map_effort选项可以设置为low、mediu或high。 初步编译,如果你想对设计面积和性能有一个快速的概念,将map_effort设置为low;默认编译,
8、如果你在进行设计开发,将map_effort设置为medium;当在进行最后设计实现编译时,将map_effort设置为high。通常设置map_effort为medium。 Compile -map_effort low/medium/high,综合步骤8:分析修改设计,通常Design Compiler根据设计综合和优化的结果生成众多的报告。根据诸如面积、约束和时序报告来分析和解决任何设计问题,或者改进综合结果。 需要的报告: report_design:报告用户的综合环境,包括operating condition、wire load report_constraint:用户定义的constraint report_timing -nworts num :所有路径中最差的路径。,综合步骤8:生成网表及sdc文件,利用write命令来保存综合过的设计,Design Compiler在退出时并不自动保存设计,保存的文件包括网表、.sdc、.sdf 、.db等。 生成网表: write -format verilog -output name.v 生成synopsy
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 招标文件评审记录跟踪查询
- 九年级道德与法治上册 第二单元 感受祖国的心跳 第四课 城乡直通车 第3框 城乡统筹教案 人民版
- 2024-2025学年新教材高中生物 第1章 遗传因子的发现 第1节 第1课时 一对相对性状的杂交实验过程和解释教案 新人教版必修第二册
- 安徽省滁州二中高中信息技术《5.1认识信息资源的管理》教案 新人教版必修
- 广东省2024-2025年高中物理 学业水平测试冲A 第6章 机械能和能源教案(含解析)
- 2023七年级英语下册 Unit 3 How do you get to school Section B 第5课时(3a-3b)教案 (新版)人教新目标版
- 2023六年级数学下册 第四单元 圆柱和圆锥4.8 估算小麦堆的质量教案 冀教版
- 自建房修建及安全合同(2篇)
- 人教版血管课件
- 第六讲 变换句型写一写(看图写话教学)-一年级语文上册(统编版·2024秋)
- 机械工程导论-基于智能制造(第2版)第3章 机械设计与现代设计方法
- 4.19北朝政治和北方民族大交融 课件-2024-2025学年统编版(2024)七年级历史上册
- 机动车商业保险条款(2020版)
- 2024年江西省“振兴杯”职业技能品酒师竞赛考试题库(含答案)
- 2024年福建省福州三牧中学中考三模英语试题(原卷版)
- DLT 572-2021 电力变压器运行规程
- DL∕T 1764-2017 电力用户有序用电价值评估技术导则
- 四年级上册英语教案-UNIT FOUR REVISION lesson 14 北京版
- 公务员职业道德建设和素质能力提升培训课件(共37张)
- YDT 4565-2023物联网安全态势感知技术要求
- 营养风险筛查与评估课件(完整版)
评论
0/150
提交评论