2010年吉林高考语文试题.ppt_第1页
2010年吉林高考语文试题.ppt_第2页
2010年吉林高考语文试题.ppt_第3页
2010年吉林高考语文试题.ppt_第4页
2010年吉林高考语文试题.ppt_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、在系统可编程技术,第14讲 VHDL语言语言时序逻辑电路设计,时钟的描述方法,上升沿:CPEVENT AND CP=1,下升沿:CPEVENT AND CP=0,上升沿D触发器描述,方法一:使用信号属性函数,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(CP) BEGIN IF (CPEVENT AND CP=1) THEN Q=D

2、; END PROCESS; END test;,方法二:使用WAIT 语句,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS BEGIN WAIT UNTIL CP=1; Q=D; END PROCESS; END test;,上升沿D触发器描述,方法三:使用上升沿检测函数,LIBRARY IEEE; USE IEEE.STD_LOG

3、IC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(cp) BEGIN IF (rising_edge(cp) THEN Q=D; END IF; END PROCESS; END test;,上升沿D触发器描述,方法四:使用进程的启动特性,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOG

4、IC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(CP) BEGIN IF CP=1 THEN Q=D; END IF; END PROCESS; END test;,上升沿D触发器描述,上升沿D触发器描述,下降沿D触发器描述,方法一:使用信号属性函数,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECT

5、URE test OF D_reg IS BEGIN PROCESS(CP) BEGIN IF (CPEVENT AND CP=0) THEN Q=D; END PROCESS; END test;,方法二:使用WAIT 语句,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS BEGIN WAIT UNTIL CP=0; Q=D; EN

6、D PROCESS; END test;,下降沿D触发器描述,方法三:使用下降沿检测函数,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(cp) BEGIN IF (falling_edge(cp) THEN Q=D; END IF; END PROCESS; END test;,下降沿D触发器描述,方法四:使用进程的启动特性,LIB

7、RARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(CP) BEGIN IF CP=0 THEN Q=D; END IF; END PROCESS; END test;,下降沿D触发器描述,下降沿D触发器描述,髙电平D触发器描述,方法:使用进程的启动特性,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; EN

8、TITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(CP,D) BEGIN IF CP=1 THEN Q=D; END IF; END PROCESS; END test;,髙电平D触发器描述,低电平D触发器描述,方法:使用进程的启动特性,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(D,CP:IN STD_LOGIC; Q:OUT STD_LO

9、GIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS(CP,D) BEGIN IF CP=0 THEN Q=D; END IF; END PROCESS; END test;,低电平D触发器描述,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY D_reg IS PORT(d,clk,clr:IN STD_LOGIC; q:OUT STD_LOGIC); END D_reg; ARCHITECTURE test OF D_reg IS BEGIN PROCESS (clk, c

10、lr) BEGIN IF clr = 1 THEN q = 0; ELSIF clkEVENT AND clk = 1 THEN q = d; END IF; END PROCESS; END test;,异步复位D触发器,异步复位D触发器,ARCHITECTURE test OF D_reg IS BEGIN PROCESS (clk) BEGIN IF clkEVENT AND clk = 1 THEN IF clr = 1 THEN q = 0; ELSE q = d; END IF; END IF; END PROCESS; END test;,同步复位D触发器,同步复位D触发器,例:

11、设计一异步清零、同步置数的同步8421BCD码计数器,LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY COUNT10 IS PORT(CLK,R,S:IN STD_LOGIC; DATA:IN STD_LOGIC_VECTOR(3 DOWNTO 0); CO:OUT STD_LOGIC; Q:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0); END COUNT10;,时序电路设计举例,ARCHITECTURE test OF COUNT10 IS BEGIN CO=1 WHEN (Q=1001) ELSE 0; PROCESS(CLK,R)

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论