超声波流速测量系统_第1页
超声波流速测量系统_第2页
超声波流速测量系统_第3页
超声波流速测量系统_第4页
超声波流速测量系统_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

超声波流速测量系统超声波流速测量系统)ADDIN,,这里频率变化率。需要说明的是 相位截尾产生的杂散谱ADDINEN.CITE<EndNote><Cite><Author>赵正敏</Author><Year>2000</Year><RecNum>201</RecNum><DisplayText>[21]</DisplayText><record><rec-number>201</rec-number><foreign-keys><keyapp="EN"db-id="2zeddv0thvxxsyeevvixv55t552d55vd05e2">201</key></foreign-keys><ref-typename="JournalArticle">17</ref-type><contributors><authors><author><styleface="normal"font="default"charset="134"size="100%">赵正敏</style></author></authors></contributors><titles><title><styleface="normal"font="default"charset="134"size="100%">相位截尾对DDS输出频谱影响的分析及计算</style></title><secondary-title><styleface="normal"font="default"charset="134"size="100%">东南大学学报:自然科学版</style></secondary-title></titles><periodical><full-title>东南大学学报:自然科学版</full-title></periodical><pages><styleface="normal"font="default"charset="134"size="100%">141-145</style></pages><volume><styleface="normal"font="default"charset="134"size="100%">30</style></volume><number><styleface="normal"font="default"charset="134"size="100%">001</style></number><dates><year><styleface="normal"font="default"charset="134"size="100%">2000</style></year></dates><urls></urls></record></Cite></EndNote>[21],对相关分析是有益的。4.2短TOF的估计图8是一次短距离测量结果,传感器平面相距约5cm,驱动脉冲帧长40us,Msps。图8短TOF实验结果,=20Msps(a)归一化的激励信号,(b)采集信号,(c)相关函数,(d)相关函数(局部)及其拟合结果可以看出,的峰值对应时间为3.55us,测量结果的读数分辨率为0.05us。为了进一步提高分辨率,我们对峰值两边的10个点进行拟合,并求其拟合函数的峰值,(d)是计算结果,时间分辨率精确到ns时,结果为3.558us。图9是相同条件下,重复5000次实验的统计图。统计表明,测量结果呈正态分布,算法具有较好的稳定性。当TOF短时平稳时,采用连续多次平均的方法可以进一步提高测量的精度。图95000次重复TOF估计的结果分布4.3长TOF的估计TOF较长时,的长度kN很大,信噪比变低。本实验主要考察验对射式淤泥密度测量系统中,算法的精度。为防止淤泥挂搭,探头距离一般在10-40cm。对于距离更远、TOF更长的应用,可以采用粗测及精测两步进行,粗测大致确定TOF的范围。为了提高长距离测量时的信噪比,可以加强激励信号幅度,所用的超声信号发生器,最大驱动电压为300Vpp.图10较长TOF的估计实验结果实验中,传感器平面相距约50cm,驱动脉冲帧长为40us,采样数据长度预计为。图10是一组测量结果,(a)是驱动信号,(b)是采集的数据,(c)及(d)是其相关函数,测量结果为TOF=33.55us,分辨率为50ns。此时,各有46个+2和-2,每一点相关函数只需计算加减法92次,总共约需做147200次定点加法运算。采用FFT算法,则需要161841次实数浮点乘法及379000次浮点加法运算。不仅时间长,且需要额外的存储空间。如果采用粗测及精测相结合的方法,计算量还可以进一步降低。5.系统的硬件采用DRLFM激励,并采用递推相关函数估计算法超声波TOF测量中,只需要加法减法运算,降低了计算复杂性,不需要额外的存储空间,并且可以并行实现,适合基于FPGA或CPLD的硬件实现。信号采用基带传输,不需要调制解调,相关函数主瓣较窄,不仅提高了信号传输效率,也提高了算法的精度及鲁棒性。这种递推算法为高频、高精度超声测量提供了一种有效的方法。5.1系统的硬件方案图11流量监测系统原理图前面已经简单的给出了系统方案,这里再详细的给出系统的硬件方案,如图所示,系统分成三个部分:以模拟电路为主的前端电路,以DSP为核心信号处理电路,以MCU为核心的后端服务电路。下面将详细的介绍各部分的硬件组成和功能。5.2传感器的选择图.12超声传感器的阻抗特性。(a)阻抗特性图。(b)相位特性图。采用收发一体超声波传感器,中心频率,带宽为0.4MHz,特性如图12所示传感器选择条件:首先要防水,这样不管是用在管道上,还是用在液体中都可以;超声波在水中传输时,中心频1MHz左右时。传输效率较好;收发一体,便于双向交替发送于接收。5.3驱动信号产生图X是本文采用可编程器件实现的DRLFM信号发生器框图,系统主时钟频率为。在每个脉冲串周期中,频率变化率和频率控制字累加器一次,实现频率的线性增加,频率控制字的初值为,相位累加器PA及N位频率控制字累加一次,实现相位的调频变化,即,每个时钟内,N位相位累加器的高M位作为LUT的地址,LUT(Look-Up-Table)中存放的D位的正弦信号波形数据在M位地址信号的寻址后输出送数字比较器Comp和给定的参考信号Dref进行比较,产生方波信号。其调谐方程为,分辨率为;根据超声换能器的中心频、带宽和信号持续时间,可以确定DRLFM的起始频率控制,调频速度。改变FW可以改变DRLFM的中心频率;设定可以设定调频速度,当时输出为频率稳定的方波信号;改变参考电压数据,其方波的占空比可调。图.X基于DDFS的DRLFM发生器5.4参数选择及计算例本文以中心频率为1MHz的防水型超声波发及收对为例,选择并计算DRLFM的相关参数。N=40,M=10,D=8,相位累加器采用流水线结构ADDINEN.CITE<EndNote><Cite><Author>赵正敏</Author><Year>2001</Year><RecNum>219</RecNum><DisplayText>[9]</DisplayText><record><rec-number>219</rec-number><foreign-keys><keyapp="EN"db-id="2r0x52adfsvfa6e5s0f5a5r3s9f0fzss5rta">219</key></foreign-keys><ref-typename="JournalArticle">17</ref-type><contributors><authors><author>赵正敏</author></authors></contributors><titles><title>DDS流水线结构的改进</title><secondary-title>APPLICATIONOFELECTRONICTECHNIQUE</secondary-title></titles><periodical><full-title>APPLICATIONOFELECTRONICTECHNIQUE</full-title></periodical><volume>27</volume><number>3</number><dates><year>2001</year></dates><publisher>万方数据资源系统</publisher><urls></urls></record></Cite></EndNote>[9],LUT中的四分之一相限的正弦波形数据为8位256字节,可以在FPGA或CPLD内部实现。Comp为八位比较器。当MHz,Hz,超声换能器的中心频MHz、带宽MHz,信号持续时间ms,可以确定DRLFM的起始频率控制;,相对误差为小于0.01%。和其它的DDFS电路一样,当频率控制字为非2的整数幂时,输出信号中会产生轻微的相位截尾误差,但当特别是当很高时,这种相位抖动远小于模拟比较器及模拟信号中的干扰ADDINEN.CITE<EndNote><Cite><Author>赵正敏</Author><Year>2000</Year><RecNum>201</RecNum><DisplayText>[10]</DisplayText><record><rec-number>201</rec-number><foreign-keys><keyapp="EN"db-id="2zeddv0thvxxsyeevvixv55t552d55vd05e2">201</key></foreign-keys><ref-typename="JournalArticle">17</ref-type><contributors><authors><author><styleface="normal"font="default"charset="134"size="100%">赵正敏</style></author></authors></contributors><titles><title><styleface="normal"font="default"charset="134"size="100%">相位截尾对DDS输出频谱影响的分析及计算</style></title><secondary-title><styleface="normal"font="default"charset="134"size="100%">东南大学学报:自然科学版</style></secondary-title></titles><periodical><full-title>东南大学学报:自然科学版</full-title></periodical><pages><styleface="normal"font="default"charset="134"size="100%">141-145</style></pages><volume><styleface="normal"font="default"charset="134"size="100%">30</style></volume><number><styleface="normal"font="default"charset="134"size="100%">001</style></number><dates><year><styleface="normal"font="default"charset="134"size="100%">2000</style></year></dates><urls></urls></record></Cite></EndNote>[10]。本系统中采用的MAXII,器件最高工作频率可达300MHz。此外,由于采用相关估计算法估计TDOA,适当的相位抖动对相关估计的精度是有益的。5.5切换单元电路设计这一部分的作用是用来切换两个探头和发射、接收电路之间的连接的。作为对模拟信号的切换,可以有以下三个方案可以选择。用继电器进行切换。当信号接通后,由于继电器实际上就是导线,所以不存在信号失真的现象。但继电器的开关频率有限,而且有一定的总开关次数限制,一般在100万次。采用模拟开关。起初作者采用了这种方案,模拟开关开关频率高,对信号的影响比较小,但在实用的时候发现,由于切换的双方是接收到的微弱的超声信号和用于发射的高压信号,难以找到既能够承受高压又能使得传输的微弱信号失真较小的芯片,所以最终放弃了此方案。采用分立元器件,利用二极管的开关特性来控制开关。这种方法能够有很高的开关频率,能承受高压,但作者在实验的过程中发现信号失真太大,估计跟发射信号的功率有关。鉴于以上原因,作者暂时选用了继电器方案,这样可以简化项目的难度,而且控制继电器开关所需要的12V电平,发射电路中的CD4504正好能够提供。5.6放大电路设计系统中接收到的超声波信号有以下特点:从超声探头接收到的信号的幅值的范围大概为0.1mV~10mV,而一般ADC需要采样的信号的最大幅值为5V,所以得要放大54dB~94dB,即放大电路的增益为74dB±20dB;接收到的超声信号是一个以2.5MHz为中心的窄带信号;由于后续信号处理采用的是相关算法,所以对放大电路的抗干扰要求不高;鉴于以上特点,作者提出了一种可控谐振三级放大电路的方案。其中前两节是以MAX435/436为核心的固定谐振放大电路,第三级是以AD603为核心的可程控增益放大电路。考虑ADC603,可以实现±20dB的变换。这样MAX435、MAX436两级得要放大74dB,每一级需要37dB即可。如果信号的范围不在0.1mv~10mv之间,则需要通过手工调节可变电阻来实现。由于超声是一个窄带信号,且由图4.5看出在谐振频率时,基本满足每一级37dB的要求,即使实际中不满足,可以通过调节RSET或者RL来达到目的。所以利用MAX435/436谐振放大器的功能来实现超声信号的前两级的固定增益放大的方案是可行的。采用MAX435/436构成放大电路的原理图。为了防止过压,在输入端应该加一对二极管用以电路的保护。该电路能很好的对1MHz的窄带信号进行谐振放大作用。图12放大电路原理图5.7采样电路设计考虑到采样频率等因素,采用TLC876(ADC器件,采样频率fs=20Msps/12bit)的高速信号采集电路,用于对超声信号的回波进行采集。本文设计的采样频率为1MHz,综合考虑整个系统的成本和精度要求,作出的设计图如下:在使用时作者将ADC芯片的SEL管脚接地,这样使得其输入范围达到最大为0~5V。由于前级电路AD603受MAX512控制后输出为±2.5V,这样得要有一个±2.5V到0~5V的转换电路。在此作者使用了一个集成运算放大器模块来实现,其示意图如图4.12所示,信号经过放大器后有Vout=2.5V+Vin,这样就完成了电压范围的转换。图中采用的运放集成电路为OPA627,这是一款高精度、高速运放,其参数完全能够满足系统在信号带宽等方面的要求。图13TLC876的应用5.8DSP系统设计C5509有32×16bit指令缓冲队列,可实现高效的块循环操作;两个17×17bit的MAC单元,可在单周期内执行两次MAC操作;1个40bit的ALU、1个40bit的桶型移位器,4个40bit的累加器可执行比C54系列DSP更高效的算术运算,在400MHz的晶振驱动下,可达到800MIPS的性能。以44.1kHz采样率的MP3数据流为例,对128kbit/s数据率的MP3数据进行解码。霍夫曼解码、IMDCT、子带合成等运算模块共需消耗1.3MIPS的CPU资源,对于平均每秒必须解码44.6帧数据来讲,总运算量为44.6×1.3=57.98MIPS,C5509完全可以满足此速度要求。C5509还具有128K×16bit的片上RAM,其中包括64KB的DARAM、192KB的SARAM和64KB的片上ROM。及众多TMS320系列DSP处理器一样,C5509采用了哈佛结构,共有12组独立总线,其中包括3组数据读总线、2组数据写总线、5组数据地址总线、1组程序读总线和1组程序地址总线,这些总线并行地为各个计算单元提供指令和操作码,从而为高速的数据运算提供了有力的保障。C5509提供了专用的外部存储器接口(EMIF),用于控制DSP及外部存储器之间所有数据的传输。可及EMIF无缝链接的存储器有:异步存储器(ROM、FLASH、SRAM)、同步突发SRAM、同步DRAM(SDRAM),并可支持可选的32、16、8位数据访问。对EMIF编程时,必须根据实际的外部存储器考虑如何分配片内使能空间(CE)。通过EMIF接口,主处理器可将数据和程序置于片外,从而节省了片上硬件资源。其次,C5509有3个独立的多通道缓存串口(McBSP),使得C5509能够直接及其他C55xx系列DSP、多媒体数字信号编解码器等设备高速互连,这些McBSP可以提供全速双工通信,并支持128通道的收发,接收或者发送可以选择使用独立的时钟,字宽为8、12、16、20、24位任选。5.9可编程逻辑器件方案FPGA(现场可编程门阵列)及CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,作者根据现有条件选用了CPLD。CPLD在系统中的作用:(1)为DSP以及单片机的译码,以实现DSP、单片机系统的完整性;(2)为串行接口的DAC提供并串转换功能,使得DAC直接映射成DSP、MCU的一个寄存器,从而简化了系统对DAC控制;(3)为整个系统设计一个全局控制器,这样使整个系统能够协调的运行,同时使得DSP能专一处理流速的计算。图14驱动信号产生、信号采集部分原理图参考文献1. Marioli,D.,Narduzzi,C.,Offelli,etal..Digitaltime-of-flightmeasurementforultrasonicsensors.InstrumentationandMeasurement.IEEETransactionson,1992.41(1):p.93-97.2. Gueuning,F.E.,MihaiVarlan,ChristianE.Eugene,etal..AccurateDistanceMeasurementbyanAutonomousUltrasonicSystemCombiningTime-of-FlightandPhase-ShiftMethods.IEEETRANSACTIONSONINSTRUMENTATIONANDMEASUREMENT,1997.52(6):p.1809-1814.3. Grennberg,A.andM.Sandell.EstimationofsubsampletimedelaydifferencesinnarrowbandultrasonicechoesusingtheHilberttransformcorrelation.Ultrasonics,FerroelectricsandFrequencyControl.IEEETransactionson,1994.41(5):p.588-595.4. Bradley,J.andR.Kirlin.DelayEstimationbyExpectedValue.Acoustics,SpeechandSignalProcessing.IEEETransactionson,198432(1):p.19-28.5. 杨惠连,徐苓安.超声相关流量计测量精度的探讨[J].仪器仪表学报,1993.14(1):p.90-95.6. 葛万成,吴凤萍.两步相关法高抗干扰超声波距离测量技术的研究[J].仪器仪表学报,2002.23(3):p.253-256.7. 潘仲明,简盈,王跃科.基于两步相关法的大量程超声波测距技术[J].电子测量及仪器学报,2006.20(005):p.73-76.8. 周知进,文泽军,卜英勇,小波降噪在超声回波信号处理中的应用.仪器仪表学报,2009.30(2):p.237-241.9.余恩军,叶庆卫,赵挺凯.基于整数提升小波时间熵的超声波回波位置检测.电子测量及仪器学报,2010(5):p.460-466.10. 杨进,文玉梅,李平.基于相关分析和近似熵的管道泄漏声信号特征提取及辨识方法.仪器仪表学报,2009(002):p.272-279.11. Pollakowski,M.andH.Ermert.ChirpSignalMatchingandSignalPowerOptimizationinPulse-EchoModeUltrasonicNondestructiveTesting.Ultrasonics,FerroelectricsandFrequencyControl,IEEETransactionson1994.41(5):p.655-670.12. Elias,C.M.andT.J.Moran.PseudorandomBinaryNoiseNDEUltrasonicCorrelationSystem.in1978UltrasonicsSymposium.1978.13. YaoZhenjing,MengQinghao,LiGenwang,etal..Non-crosstalkreal-timeultrasonicrangesystemwithoptimizedchaoticpulseposition-widthmodulationexcitation.inUltrasonicsSymposium,2008.IUS2008.IEEE.2008.14. Fortuna,L.,M.Frasca,andA.Rizzo.ChaoticPulsePositionModulationtoImprovetheEfficiencyofSonarSensors.IEEETransactionsonInstrumentationandMeasurement.,2003.52(6):p.1809-1815.15. 程晓畅,苏绍景,王跃科等.伪随机码超声扩频测距系统设计及算法[J].测试技术学报,2007.21(1):p.79-84.16. 童峰,许水源,许天增.一种高精度超声波测距处理方法[J].厦门大学学报,1998.37(4):p.507-513.17. 梅璐璐,林京.信号群延迟估计方法研究.仪器仪表学报,2009(001):p.50-54.18. 祝俊,陈兵,唐斌.快速多分量LFM信号的检测及参数估计方法.电子测量及仪器学报,2008.22(001):p.25-29.19. ZhaoXiaochuan,LuoQingsheng,HanBaoling,etal..Anovelultrasonicrangingsystembasedontheself-correlationofpseudo-randomsequence.inInformationandAutomation,2009.ICIA'09.InternationalConferenceon.2009.10. 葛万成.相关法高抗干扰超声波距离测量中的信号处理[J].同济大学学报,2002.30(1):p.71-77.GeWancheng.SignalProcessinginCorrelationBasedRobustUltrasonicDistanceMeasurement,JOURNALOFTONGJIUNIVERSITY,2002.30(1):p.71-77.21. 赵正敏.相位截尾对DDS输出频谱影响的分析及计算[J].东南大学学报:自然科学版,2000.30(001):p.141-145.附件(程序、实物)/*************************************************************************超声波流量计DSP编程作者:徐宋静时间:2011.3.10***********************************************************************/#include"stdio.h"#include"math.h"unsignedioportport8003; /*AD采集端口*/voidkfft(pr,pi,n,k,fr,fi,l,il)intn,k,l,il;doublepr[],pi[],fr[],fi[];{intit,m,is,i,j,nv,l0;doublep,q,s,vr,vi,poddr,poddi;for(it=0;it<=n-1;it++){m=it;is=0;for(i=0;i<=k-1;i++){j=m/2;is=2*is+(m-2*j);m=j;}fr[it]=pr[is];fi[it]=pi[is];}pr[0]=1.0;pi[0]=0.0;p=6.283185306/(1.0*n);pr[1]=cos(p);pi[1]=-sin(p);if(l!=0)pi[1]=-pi[1];for(i=2;i<=n-1;i++){p=pr[i-1]*pr[1];q=pi[i-1]*pi[1];s=(pr[i-1]+pi[i-1])*(pr[1]+pi[1]);pr[i]=p-q;pi[i]=s-p-q;}for(it=0;it<=n-2;it=it+2){vr=fr[it];vi=fi[it];fr[it]=vr+fr[it+1];fi[it]=vi+fi[it+1];fr[it+1]=vr-fr[it+1];fi[it+1]=vi-fi[it+1];}m=n/2;nv=2;for(l0=k-2;l0>=0;l0--){m=m/2;nv=2*nv;for(it=0;it<=(m-1)*nv;it=it+nv)for(j=0;j<=(nv/2)-1;j++){p=pr[m*j]*fr[it+j+nv/2];q=pi[m*j]*fi[it+j+nv/2];s=pr[m*j]+pi[m*j];s=s*(fr[it+j+nv/2]+fi[it+j+nv/2]);poddr=p-q;poddi=s-p-q;fr[it+j+nv/2]=fr[it+j]-poddr;fi[it+j+

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论