等精度数字频率相位测试仪_第1页
等精度数字频率相位测试仪_第2页
等精度数字频率相位测试仪_第3页
等精度数字频率相位测试仪_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

等精度数字频率/相位测试仪设计等精度数字频率/相位测试仪是电子通信电路测试设计常需要的一种工具。设计的指标包括,测量频率的范围:(0.1Hz-100MHz),测量精度:測频全域不大于百万分之一。具有脉宽测试功能,相位测试功能。系统的组成框图如下所示,TCLK为待测的信号,BCLK为系统的时钟。其主控制结构如图測频原理所示,采用高速的系统时钟BCLK对待测信号时钟TCLK进行计数,然后计算出一个周期的平均值,最后输出高8位数据。图程序组成框图图測频原理程序代码如下:LIBRARYIEEE;--2004GWDVPB,模式5USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYetesterISPORT(BCLK:INSTD_LOGIC;--Clock9标准频率时钟信号TCLK:INSTD_LOGIC;--clock2待测频率时钟信号CLR:INSTD_LOGIC;--键7:PIO6清零和初始化信号CL:INSTD_LOGIC;--键8:PIO7当SPUL为高电平时,CL为预置门控信号,用于测频计数时间控制--当SPUL为低电平时,CL为测脉宽控制信号,CL高电平时测高电平脉宽--而当CL为低电平时,测低电平脉宽。SPUL:INSTD_LOGIC;--键4:PIO3测频或测脉宽控制START:OUTSTD_LOGIC;--发光管8:PIO15EEND:OUTSTD_LOGIC;--发光管7:PIO14由低电平变到高电平时指示脉宽计数结束,SEL:INSTD_LOGIC_VECTOR(2DOWNTO0);--键3、2、1:PIO2/PIO1/PIO0--两个32位计数器计数值分8位读出多路选择控制DATA:OUTSTD_LOGIC_VECTOR(7DOWNTO0)--数码管2/1:PIO23/22/21/20/19/18/17/16,8位数据读出);ENDetester;ARCHITECTUREbehavOFetesterISSIGNALBZQ:STD_LOGIC_VECTOR(31DOWNTO0);--标准计数器SIGNALTSQ:STD_LOGIC_VECTOR(31DOWNTO0);--测频计数器SIGNALENA:STD_LOGIC;--计数使能SIGNALMA:STD_LOGIC;SIGNALCLK1:STD_LOGIC;SIGNALCLK2:STD_LOGIC;SIGNALCLK3:STD_LOGIC;SIGNALQ1:STD_LOGIC;SIGNALQ2:STD_LOGIC;SIGNALQ3:STD_LOGIC;SIGNALBENA:STD_LOGIC;SIGNALPUL:STD_LOGIC;--脉宽计数使能SIGNALSS:STD_LOGIC_VECTOR(1DOWNTO0);BEGINSTART<=ENA;DATA<=BZQ(7DOWNTO0)WHENSEL="000"ELSE--标准频率计数低8位输出BZQ(15DOWNTO8)WHENSEL="001"ELSEBZQ(23DOWNTO16)WHENSEL="010"ELSEBZQ(31DOWNTO24)WHENSEL="011"ELSE--标准频率计数最高8位输出TSQ(7DOWNTO0)WHENSEL="100"ELSE--待测频率计数值最低8位输出TSQ(15DOWNTO8)WHENSEL="101"ELSETSQ(23DOWNTO16)WHENSEL="110"ELSETSQ(31DOWNTO24)WHENSEL="111"ELSE--待测频率计数值最高8位输出TSQ(31DOWNTO24);--HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHBZH:PROCESS(BCLK,CLR)--标准频率测试计数器,标准计数器BEGINIFCLR='1'THENBZQ<=(OTHERS=>'0');ELSIFBCLK'EVENTANDBCLK='1'THENIFBENA='1'THENBZQ<=BZQ+1;ENDIF;ENDIF;ENDPROCESS;--ggggggggggggggggggggggggggggggggggggggggggggggggggggTF:PROCESS(TCLK,CLR,ENA)--待测频率计数器,测频计数器BEGINIFCLR='1'THENTSQ<=(OTHERS=>'0');ELSIFTCLK'EVENTANDTCLK='1'THENIFENA='1'THENTSQ<=TSQ+1;ENDIF;ENDIF;ENDPROCESS;--FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFPROCESS(TCLK,CLR)--计数控制使能触发器,CL为预置门控信号,同时兼作正负脉宽测试控制信号BEGINIFCLR='1'THENENA<='0';ELSIFTCLK'EVENTANDTCLK='1'THENENA<=CL;ENDIF;ENDPROCESS;--OOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOOMA<=(TCLKANDCL)ORNOT(TCLKORCL);--测脉宽逻辑CLK1<=NOTMA;CLK2<=MAANDQ1;CLK3<=NOTCLK2;SS<=Q2&Q3;--HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHDD1:PROCESS(CLK1,CLR)BEGINIFCLR='1'THENQ1<='0';ELSIFCLK1'EVENTANDCLK1='1'THENQ1<='1';ENDIF;ENDPROCESS;DD2:PROCESS(CLK2,CLR)BEGINIFCLR='1'THENQ2<='0';ELSIFCLK2'EVENTANDCLK2='1'THENQ2<='1';ENDIF;ENDPROCESS;DD3:PROCESS(CLK3,CLR)BEGINIFCLR='1'THENQ3<='0';ELSIFCLK3'EVENTANDCLK3='1'THENQ3<='1';ENDIF;ENDPROCESS;--HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHGT:PUL<='1'WHENSS="10"ELSE--当SS=“10”时,PUL高电平,允许标准计数器计数,'0';--禁止计数EEND<='1'WHENSS="11"ELSE--EEND为低电平时,表示正在计数,由低电平变到高电平'0';--时,表示计数结束,可以从标准计数器中读

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论