基于FPGA的系统设计与应用01-概述_第1页
基于FPGA的系统设计与应用01-概述_第2页
基于FPGA的系统设计与应用01-概述_第3页
基于FPGA的系统设计与应用01-概述_第4页
基于FPGA的系统设计与应用01-概述_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

基于FPGA的系统设计与应用

第一章概论姓名:杨慧晶单位:软件学院Email:yhj833@1.平时成绩:100分折合为总成绩的30%2.期末成绩:100分折合为总成绩的70%;3.考试方式:闭卷

考核办法2教材

EDA技术实用教程—VerilogHDL版(第四版)潘松黄继业潘明编著,科学出版社参才书

1、AlteraFPGA/CPLD设计,EDA先锋工作室等主编,2011年,人民邮电出版社

2、深入浅出玩转FPGA,吴厚航编著,2010年,北京航空航天出版社3、FPGA/ASIC高性能数字系统设计,李洪革编著,2011年,电子工业出版社4、零基础学习FPGA基于AlteraFPGA器件&VerilogHDL语言,机械工业出版社,2010年教材及参考书3

为什么学4学什么

主要应学习如下四个方面的内容:①大规模可编程逻辑器件;②硬件描述语言;(熟练使用,注意语言与硬件电路的对应关系)③软件开发工具;(熟练使用,注意掌握工具使用过程中特定方法的使用)④开发系统。其中,硬件描述语言是重点。5对于大规模可编程逻辑器件,主要是了解其分类、基本结构、工作原理、各厂家产品的系列、性能指标以及如何选用,而对于各个产品的具体结构不必研究过细。对于硬件描述语言,除了掌握基本语法规定外,更重要的是要掌握系统的分析与建模方法,能够将各种基本语法规定熟练地运用于自己的设计中。6对于软件开发工具,应熟练掌握从源程序的编辑、逻辑综合、逻辑适配以及各种仿真、硬件验证各步骤的使用。对于开发系统,主要能够根据自己所拥有的设备,熟练地进行硬件验证或变通地进行硬件验证。7如何学

抓住一个重点:VerilogHDL的编程;掌握两个工具:FPGA开发软件和EDA开发系统的使用;运用三种手段:案例分析、应用设计、上机实践;采用四个结合:边学边用相结合,边用边学相结合,理论与实践相结合,课内与课外相结合。8本课程学习方法小议本课程前期基础课程是数字电路等课程

这方面掌握不够理想的请自己重新复习。本课程是一门实践性、应用性很强的学科

努力学好课堂知识的同时,更要培养动手能力。硬件/软件同样重要,不可偏废硬件是基础,软件是外表及思想。预习、听课、查阅资料、作业、实验环节都重要掌握科学的学习方法。9

相关网址101.1EDA技术及其发展

EDA(ElectronicDesignAutomation)EDA技术发展的三个阶段

20世纪70年代MOS工艺CAD概念20世纪80年代CMOS时代出现FPGA

20世纪90年代ASIC设计技术EDA技术11EDA技术概述

自20世纪60年代以来,数字集成电路已经历了从SSI、MSI到LSI、VLSI的发展过程。20世纪70年代初以1K位存储器为标志的大规模集成电路(LSI)问世以后,微电子技术得到迅猛发展,集成电路的集成规模几乎以平均每1~2年翻一番的惊人速度迅速增长。12标准逻辑器件微处理器与微控制器目前,有以下三种集成逻辑器件可供选用:包含:TTL74/54系列和CMOS4000/4500/74HC系列的器件。特点:中、小规模集成电路、速度快、型号系列齐全、厂家

众多、价格便宜。不足:实现复杂的逻辑功能时,电路庞大、连线增多、可靠

性降低。特点:大规模、超大规模集成电路、其性能已不能单凭器件

本身的电路结构评估,需要配备相应的软件才能形成

一个整体。不足:在某些对工作速度有特别要求的场合,此类器件的弱

点就表现出来。13专用集成电路ASICASIC是面向用户实用目的而专门设计的一种集成电路,其宗旨在于优化电路的性能,提高电路的集成度,增强电路芯片的接口能力,同时,其设计周期和开发成本又为用户能接受。通常电路逻辑功能复杂。包括:1.标准单元2.宏单元3.门阵列4.可编程逻辑器件PLD1415数字电路中由18片IC组成的数字钟16数字电路中由18片IC组成的数字钟17单片IC(单片机)电子钟18单片IC(FPGA)电子钟三类器件的主要性能指标比较很好很好较差

开发工具支持较大较小较小

库存风险较难不难容易

使用难易程度长较短短

制造时间一般较好差

样品仿真能力较长不长短

开发时间较贵一般便宜

价格很好较好差

集成度一般较好很好

速度专用集成ASIC微控制器标准逻辑器件类型指标19EDA的几个基本概念EDA——电子设计自动化ASIC——专用集成电路FPGA——现场可编程门阵列CPLD——复杂可编程器件GAL——通用阵列逻辑ISP——在系统可编程JTAG——联合测试行动小组201.电子设计自动化——EDAEDA——ElectronicDesignAutomation概念由来电子设计自动化EDA是从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)、CAE(计算机辅助工程)等概念发展而来。发展历程电子CAD阶段20世纪70年代,属EDA技术发展初期。利用计算机、二维图形编辑与分析的CAD工具,完成布图布线等高度重复性的繁杂工作。典型设计软件如Tango布线软件。21计算机辅助工程设计(CAE)阶段20世纪80年代初,出现了低密度的可编程逻辑器件(PAL和GAL),相应的EDA开发工具主要解决电路设计没有完成之前的功能检测等问题。80年代后期,EDA工具已经可以进行初级的设计描述、综合、优化和设计结果验证。电子设计自动化(EDA)阶段去单功能电子产品开发转向系统级电子产品开发(即SOC-SystemOnaChip片上系统集成)。20世纪90年代,可编程逻辑器件迅速发展,出现功能强大的全线EDA工具。具有较强抽象描述能力的硬件描述语言(VHDL、VerilogHDL)及高性能综合工具的使用,使过22EDA概念发展EDA广义定义:半导体工艺设计自动化、可编程器件设计自动化、电子系统设计自动化、印刷电路板设计自动化、仿真与测试、故障诊断自动化形式验证自动化统称为EDA工程23EDA技术设计方法例如:设计一矩形波发生系统。传统数字设计方法CPUMCU8254EDA技术设计方法控制部分波形产生24.至顶向下(Top-to-DownDesign)设计方法.至底向上设计方法首先确定可用的元器件,然后根据这些器件进行逻辑设计,完成各模块后进行连接,最后形成系统。自上而下是指将数字系统的整体逐步分解为各个子系统和模块,若子系统规模较大,则还需将子系统进一步分解为更小的子系统和模快,层层分解,直至整个系统中各个子系统关系合理,并便于逻辑电路级的设计和实现为止。自上而下设计中可逐层描述、仿真,保证满足系统指标。25传统方法与EDA方法比较传统方法EDA方法设计方法自下至上(BottomtoUp)自上至下(ToptoDown)实现载体通用的逻辑元件可编程逻辑器件PLD调试方法硬件设计的后期仿真和调试系统设计的早期仿真和修改设计途径硬件电路原理图多种设计文件,以HDL描述文件为主实现方法手工实现自动实现262.专用集成电路——ASICASIC——ApplicationSpecificIntegratedCircuit专用集成电路——专门限定的某一种或某几种特定功能的产品或应用而设计的芯片。基本概念ASIC分类全定制——芯片内部各种掩膜全部是按特定功能专门制造,

用户不能更改。半定制——芯片内部预制好晶体管单元电路,只乘金属连线

层的掩膜有待按照具体要求进行设计和制造。可编程——用户可以用开发工具按照自己的设计对可编程器

件编程,以实现特定逻辑功能。27ASIC发展趋势最小尺寸越来越小02468101970年1990年2002年2010年μm28集成度越来越高02E+114E+116E+118E+111E+121970年1990年2002年2010年集成度K29速度越来越快01002003004005006007001970年1990年2002年2010年速度MHz30降低了产品的成本。用ASIC来设计和改造电子产品大幅度地减少印制板的面积和接插件,减低装配和调试费用提高产品的可靠性提高了产品的保密程度和竞争能力降低了电子产品的功耗提高电子产品的工作速度大大减少了电子产品的体积和重量

工艺先进用户可编程性及在系统升级有利于芯片研发

ASIC主要特点31可编程模拟集成电路其可以实现的功能为:1)信号调理(对信号放大、衰减、滤波)2)信号处理(对信号进行求和、求差、积分运算)3)信号转换(对信号进行AD和DA转换)值得一提的是,美国Lattice公司在1999年推出了一种基于ISP技术的可编程模拟电路(ispPAC),它也可以使用开发软件进行模拟电路仿真,然后通过一个编程电缆下载至芯片中。321.1EDA技术及其发展

EDA技术在进入21世纪后,得到了更大的发展:

电子设计成果自主知识产权

仿真和设计EDA软件不断推出

电子技术全方位纳入EDA领域传统设计建模理念发生重大变化EDA使得电子领域各学科的界限更加模糊更加互为包容

更大规模的FPGA和CPLD器件的不断推出

EDA工具ASIC设计涵盖大规模电子系统及复杂IP核模块

软硬件IP核在电子行业广泛应用IP-IntellectualProperty

SoC高效低成本设计技术的成熟

硬件描述语言出现(如SystemC)设计和验证趋于简单331.2EDA技术实现目标

目标:是完成专用集成电路ASIC的设计和实现图1-1EDA技术实现目标

341.2EDA技术实现目标

1.超大规模可编程逻辑器件

FPGA(FieldProgrammableGateArray)

CPLD(ComplexProgrammableLogicDevice)2.半定制或全定制ASIC掩模ASIC门阵列ASIC标准单元ASIC全定制芯片

3.混合ASIC

CPU、RAM、ROM、硬件加法器、乘法器、锁相环351.3硬件描述语言VerilogHDLVHDLVerilogHDLSystemVerilogSystemC

361.4其他常用HDLVHDL与Verilog相比,VHDL有下列优势:●语法比Verilog严谨,通过EDA工具自动语法检查,易排除许多设计中的疏忽。●有很好的行为级描述能力和一定的系统级描述能力,而Verilog建模时,行为与系统级抽象及相关描述能力不及VHDL。与Verilog相比,VHDL有下列不足:●

VHDL代码较冗长,在相同逻辑功能描述时,Verilog的代码比VHDL少许多。●

VHDL对数据类型匹配要求过于严格,初学时会感到不是很方便,编程耗时也较多;而Verilog支持自动类型转换,初学者容易入门。●

VHDL对版图级、管子级这些较为底层的描述级别,几乎不支持,无法直接作集成电路底层建模。

SystemVerilog

SystemC371.5HDL综合从自然语言转换到VerilogHDL语言算法表述自然语言综合从算法表述转换到寄存器传输级(RegisterTransportLevel,RTL)表述行为综合从RTL级表述转换到逻辑门(包括触发器)的表述逻辑综合从逻辑门表示转换到版图级表述(ASIC设计),或转换到FPGA的配置网表文件,

版图综合或结构综合

381.5HDL综合391.5HDL综合401.5基于verilog的自顶向下设计方法

图1-4自顶向下的设计流程

411.6EDA技术的优势(1)大大降低设计成本,缩短设计周期。(2)有各类库的支持。(3)简化了设计文档的管理。(4)日益强大的逻辑设计仿真测试技术。(5)设计者拥有完全的自主权,再无受制于人之虞。(6)设计语言标准化,开发工具规范化,设计成果通用性,良好的可移植与可测试性,为系统开发提供了可靠的保证。(7)能将所有设计环节纳入统一的自顶向下的设计方案中。(8)整个设计流程上充分利用计算机的自动设计能力,在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整全面的测试。421.7EDA的发展趋势

在一个芯片上完成的系统级的集成已成为可能

可编程逻辑器件开始进入传统的ASIC市场

EDA工具和IP核应用更为广泛

高性能的EDA工具得到长足的发展

计算机硬件平台性能大幅度提高,为复杂的SoC设计提供了物理基础。

431.8EDA设计流程441.8EDA设计流程1.8.1设计输入(原理图/HDL文本编辑)1.图形输入2.HDL文本输入

1.8.2综合

原理图输入状态图输入波形图输入451.8EDA设计流程1.

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论