山东大学电子设计自动化试卷2_第1页
山东大学电子设计自动化试卷2_第2页
山东大学电子设计自动化试卷2_第3页
山东大学电子设计自动化试卷2_第4页
山东大学电子设计自动化试卷2_第5页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

山东大学电子设计自动化试卷单项选择题:(20分)IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。A.软IPB.固IPC.硬IPD.都不是综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;综合就是将电路的高级语言转化成低级的,可与FPGA/CPLD的基本结构相映射的网表文件;为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是____。FPGA是基于乘积项结构的可编程逻辑器件;FPGA是全称为复杂可编程逻辑器件;基于SRAM的FPGA器件,在每次上电后必须进行一次配置;在Altera公司生产的器件中,MAX7000系列属FPGA结构。进程中的变量赋值语句,其变量更新是_________。立即完成;按顺序完成;在进程的最后完成;都不对。VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。器件外部特性;器件的综合约束;器件外部特性与内部功能;器件的内部功能。不完整的IF语句,其综合结果可实现________。 A.时序逻辑电路 B.组合逻辑电路 C.双向电路 D.三态控制电路子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_________。 ①流水线设计 ②资源共享 ③逻辑优化 ④串行化 ⑤寄存器配平 ⑥关键路径法A.①③⑤ B.②③④C.②⑤⑥ D.①④⑥下列标识符中,__________是不合法的标识符。A.State0 B.9moon C.Not_Ack_0 D.signall关于VHDL中的数字,请找出以下数字中最大的一个:__________。2#1111_1110#8#276#10#170#16#E#E110.下列EDA软件中,哪一个不具有逻辑综合功能:________。Max+PlusIIModelSimQuartusIISynplify第1页共5页二、EDA名词解释,写出下列缩写的中文(或者英文)含义:(10分)VHDLFPGARTL SOPCEAB三、VHDL程序填空:(10分)下面程序是参数可定制带计数使能异步复位计数器的VHDL描述,试补充完整。--N-bitUpCounterwithLoad,CountEnable,and--AsynchronousResetlibraryieee;useIEEE.std_logic_1164.all;useIEEE.________________.all;useIEEE.std_logic_arith.all;entitycounter_nis __________(width:integer:=8); port(data:instd_logic_vector(width-1downto0); load,en,clk,rst:______std_logic; q:outstd_logic_vector(_____________downto0));endcounter_n;architecturebehaveof_______________is signalcount:std_logic_vector(width-1downto0); begin process(clk,rst) begin ifrst='1'then count<=_______________;――清零 elsif_______________________then――边沿检测 ifload='1'then count<=data; ___________en='1'then count<=count+1; _____________; endif; endprocess; ________________ endbehave;四、VHDL程序改错:(10分)仔细阅读下列程序,回答问题1 LIBRARYIEEE;2 USEIEEE.STD_LOGIC_1164.ALL;3 4 ENTITYCNT10IS5 PORT(CLK:INSTD_LOGIC;6 Q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));7 ENDCNT10;8 ARCHITECTUREbhvOFCNT10IS9 SIGNALQ1:STD_LOGIC_VECTOR(3DOWNTO0);10 BEGIN11 PROCESS(CLK)BEGIN12 IFRISING_EDGE(CLK)begin13 IFQ1<9THEN14 Q1<=Q1+1;15 ELSE16 Q1<=(OTHERS=>'0');17 ENDIF;18 ENDIF;19 ENDPROCESS;20 Q<=Q1;21 ENDbhv;1.在MAX+PlusII中编译时,提示的第一条错误为:Error:Line12:Filee:\mywork\test\cnt10.vhd:VHDLsyntaxerror:IfstatementmusthaveTHEN,butfoundBEGINinstead指出并修改相应行的程序(如果是缺少语句请指出大致的行数):错误1 行号:程序改为:错误2 行号:程序改为:2.若编译时出现如下错误,请分析原因。第2页共5页五、VHDL程序设计:(15分)设计一数据选择器MUX,其系统模块图和功能表如下图所示。试采用下面三种方式中的两种来描述该数据选择器MUX的结构体。(a)用if语句。(b)用case语句。(c)用whenelse语句。Libraryieee;Useieee.std_logic_1164.all;Entitymymuxis Port( sel:instd_logic_vector(1downto0); --选择信号输入 Ain,Bin:instd_logic_vector(1downto0); --数据输入 Cout:outstd_logic_vector(1downto0));Endmymux;六、根据原理图写出相应的VHDL程序:(15分)第3页共5页七、综合题:(20分)(一)已知状态机状态图如图a所示;完成下列各题:图a状态图图b状态机结构图试判断该状态机类型,并说明理由。根据状态图,写出对应于结构图b,分别由主控组合进程和主控时序进程组成的VHDL有限状态机描述。若已知输入信号如下图所示,分析状态机的工作时序,画出该状态机的状态转换值(c_state)和输出控制信号(out_a);4.若状态机仿真过程中出现毛刺现象,应如何消除;试指出两种方法,并简单说明其原理。第4页共5页(二)已知一个简单的波形发生器的数字部分系统框图如下图所示图中lcnt、lrom都是在MAX+PlusII中使用MegaWizard调用的LPM模块,其VHDL描述中Entity部分分别如下:ENTITYlcntIS PORT ( clock :INSTD_LOGIC; q :OUTSTD_LOGI

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论