基于FPGA直流电机PWM的控制实现_第1页
基于FPGA直流电机PWM的控制实现_第2页
基于FPGA直流电机PWM的控制实现_第3页
基于FPGA直流电机PWM的控制实现_第4页
基于FPGA直流电机PWM的控制实现_第5页
已阅读5页,还剩130页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

i摘要论文以直流电机为研究对象,应用了先进的FPGA技术,设计了一种全数字的步进电机控制系统,通过了仿真、综合和下载的各个程序测试环节,并在实验本论文分析了直流电机工作原理以及其具体的控制过程,然后阐述了FPGA的设计原理以及所涉及到的相关芯片,接着对所要应用的硬件语言VHDL方面的知识进行了简要地介绍,这些为论文的具体设计部分提供了理论基础。本系统针对需要实现对直流电机的调速,设计出了一种符合要求的连续可调的脉冲信号发生器,对整个系统进行模块化设计,并且每个子模块都通过了仿真测试。系统采用了模块化的设计思路,为系统的设计和维护提供了方便,同时也提高了系统性能的可扩展性。系统采用一种软件硬化的设计思路,应用了VHDL硬件语言,该语言较容易理解。软件也是采用了目前应用比较广泛的几种。FPGA+VHDL+EDA工具构成的数字系统现场集成技术,是本系统设计的核心部分,该门技术具有操作灵活、利用广泛以及价廉等特点。该门技术具有旺盛的生命力和广阔的前景,必然推动着整个集成电路产业系统集成的进一步发展。整个系统设计采用了全数字化的控制方案,使系统更加紧凑、更加合理以及经济节约。由于系统的全数字化,使得整个系统运行变得十分可靠,调试也极为方便。作为一种先进技术的应用,论文在很多方面做了新的尝试。浙江大学硕士学位论文Inthispaper,DCmotorasthestudy,withtheapplicationofFPGA-advancedgivesabriefintroductionontheknowledgeofappliedhardwarelaalltheseprovidesatheoreticalbasisforthespecificdesignsectionsofthepaper.forthesystemdesignandmaintenance,butalsoimprovetheperformancesystemscalability.Thesystemwhichareusedwidelyattechnology,asacorepartofthesystemdesign,tprospects,andwillfurtherthedevelopmentofsystemintegrationoftheentireICAsanadvancedtechnology,thepapermakesmanynewattemptsinseveralsapectKeyWords:DCmotor,Fieldprogrammablegatearray,VHDL浙江大学硕士学位论文目录I摘要 iAbstract 11.1课题背景 11.2研究的目的及其内容 21.3研究内容及其安排 31.3.1研究内容 31.3.2本文的安排 31.4本章小结 3第2章电机的基本知识 42.1直流电机的特点 42.2直流电机的基本结构 42.3直流电机的工作原理 62.3.1直流电机的励磁方式 72.3.2直流电机的基本方程式 第3章FPGA与硬件描述语言 3.1数字系统集成的基本概念 3.1.1工艺集成技术 3.1.2现场采集技术 3.3硬件描述语言设计方法 3.3.1硬件描述语言发展概况 3.3.2VerilogHDL目前的应用情况与适用的设计 3.3.3采用硬件描述语言的设计流程 3.4本章小节 第4章直流电机系统设计方案 4.1直流电机控制实现方案的比较 4.1.1以DSP为核心的电机控制系统与单片机的电机控制系统的比较 4.1.2FPGA设计与单片机设计的比较 4.1.3硬件描述语言设计与传统技术设计对比 浙江大学硕士学位论文目录 4.2系统设计框图 4.2.1模块功能简介 4.3设计的目的及其要求 4.3.1功能要求 4.4本章小结 第5章模块设计 5.1.1增量式PID控制算法 5.2PWM产生模块 5.2.1PWM的定义及原理 5.2.2PWM波的实现 5.2.3计数及数码管显示模块 5.3本章小节 第6章硬件电路设计 6.1转速检测电路设计 6.1.1转速检测电路设计方案比较与分析 6.2电机速度显示电路 6.2.1电机速度显示模块电路方案比较与分析 6.3电机驱动电路 6.3.1H桥电机驱动电路原理 6.3.2H桥驱动电路设计 第7章总结及其展望 参考文献 作者简历 图目录浙江大学硕士学位论文图目录图目录 5图2.2直流电动机工作原理图 7图3.1单端系列边界扫描链 图3.2反熔丝原胞 图3.3基于ISE的FPGA设计基本流程 图3.4自顶向下设计思想 错误!未定义书签。图4.1系统设计框图 图5.1实际微分PID算法框图 图5.2增量式PID控制算法结构图 图5.4乘法器modelsim仿真图 图5.5乘法器仿真图2 图5.6四位加法器仿真图 图5.7四位加法器仿真图2 图5.816位加法器仿真图 图5.916位加法器仿真图2 图5.10PWM产生模块仿真图 图5.11PWM产生模块仿真图2 图5.12分频模块仿真图 图5.13计数模块仿真图 图6.1GK105标准接法 图6.2光电开关GK105检测电路 图6.3H桥直流电机驱动原理图 图6.4H桥驱动电路设计电路图 1第1章绪论1.1课题背景自从1985年Xilinx公司推出第一片现场可编程逻辑器件(FPGA)到现在,FPGA已经经历了二十几年的发展历程。在这几十年的发展过程中,以FPGA为代表的数字系统现场集成技术取得了惊人的发展。现场可编程逻辑器件从刚开始的1200个逻辑门,发展到90年代的25万个逻辑门,甚至到现今国际上FPGA的著名厂商Altera公司、Xilinx公司又陆续推出了数百万门的单片FPGA芯片,将现场可编程器件的集成度提高到一个新的水平。FPGA的优点可以归纳为如下几点:效能,上市时间,成本,可靠性和长期维护五个方面。的固定逊算,并于每时脉循环完成更多作业,超越了数位讯号处理器(DSP)的计算功能。BDTI作为著名的分析公司,并于某些应用中使用DSP解泱方案,以计算FPGA的处理效能。在硬件层级控制I/O可缩短回应时间并特定化某些功使用者不需进行ASIC设计的冗长建构过程,就可以在硬件中测试或验证某个观念。并仅需数个小时就可以建置其他变更作业,或替换FPGA设计。现成的(COTS)硬件也可搭配使用不同种类的I/O,并连接至使用者设定的FPGA芯片。高级软件工具正不断提升其适用性,缩短了抽象层(Layerofabstraction)的学习时间,并针对进階控制与信号处理使用IPcores(预先建立的函式)。成本--ASIC设计的非重置研发(NRE)费用,远远超过FPGA架构硬件解决方案的费用。ASIC设计的初始投资,可简单认列于OEM每年所出货的数千组晶片,但是许多末端使用者更需要定制硬件功能,以便用于开发过程中的数百组系统。而可程式化晶片的特性,就代表了低成本的架构作业,或组装作业的长前置时间。由于系统需求随时在变化,因此若与ASIC的庞大修改费用相比,可靠性--正如软件工具提供程序化设计的环境,FPGA电路也为程序的「坚强」的建置方式。处理器架构的系统往往具有多個抽象层,得以协助多重2处理程序之间的作业排程与资源分享。驱动层(Driverlayer)控制硬件资源,而作为作业系统则管理记忆体和处理器频宽。针对任何现有的处理器核心来说,每次仅可执行1组指令码;而处理器架构的系统则可以连续处理重要作业。FPGA不需要使用作业系统,并将产生问题的几率降到最低,采用平行执行功能与专属精密硬件执行作业。长期维护--FPGA晶片为即时升级(Field-upgradable)特性,不需要像ASIC一般重新设计的时间与费用。举例来说,数位通讯协定的规格可随时间而改变,而ASIC架构的介面却可能产生维护与向下相容的问题。FPGA具有可重设性质,可随时因应未来的需要而进行修改。当产品或系统趋于成熟时,不需耗时重新设计或修改机板配置,即可提升相关功能H。1.2研究的目的及其内容直流电动机因为具有良好的启动性能和宽广平滑的调速特性,从而被广泛应用于电力机车、无轨电车、轧钢机、机床和启动设备等这些需要经常启动并调速的电气传动装置中,直流发电机主要用作直流电源。此外,小容量直流电机大多在自动控制系统中以伺服电动机、测速发电机等形式作为测量、执行原件使用。当基于FPGA的嵌入式系统时,在设计周期之初就不必为每个模块做出用硬件还是软件的选择。如果在设计中间阶段需要一些额外的性能,则可以利用FPGA中现有的硬件资源来加速软件代码中的瓶颈部分。由于FPGA中的逻辑单元是可编程的,可针对特定的应用而定制硬件。所以,仅使用所需要的硬件即可,而不必做出任何板级变动(前提是FPGA中的逻辑单元足够用)。设计者不必转换到另外一个新的处理器或者编写汇编代码,就可做到这一点。使用带有可配置处理器的FPGA可获得设计灵活性。设计者可以选择如何实现软件代码中的每个模块,如用定制指令,或硬件外围电路。此外,还可以通过添加定制的硬件而获取比现成微处理器更好的性能。另一点要知道的是,FPGA有充裕的资源,可配置处理器系统可以充分利用这一资源。算法可以用软件,也可用硬件实现。出于简便和成本考虑,一般利用软件来实现大部分操作,除非需要更高的速度以满足性能指标。软件可以优化,但有时还是是不够的。如果需要更高的速度,利用硬件来加速算法是一个不错的选择。FPGA使软件模块和硬件模块的相互交换更加简便,不必改变处理器或进3行板级变动。设计者可以在速度、硬件逻辑、存储器、代码大小和成本之间做出折衷。利用FPGA可以设计定制的嵌入式系统,以增加新的功能特性及优化性能。目前,虽然由晶闸管整流元件组成的固态直流电源设备已基本上取代了直流发电机,但直流电动机仍因为其良好调速性能的优势在许多传动性能要求高的场合占据一定的地位,而FPGA又具有很强的性能及其优势,基于FPGA的直流电机1.3研究内容及其安排本文根据以上这些特点,对直流电机的控制研究采用了一种基于FPGA平台,采用硬件描述语言加上EDA软件进行设计。具体的研究内容为:1.查找相关文献,研究直流电机的基本特点及其工作原理,并且对直流电机2.对要进行的研究进行分析,采用模块化设计,包括PWM产生模块,PID3.研究系统的重点PID算法模块,构建出合适的PID算法,找到一种切实可行的方案,以满足系统的要求。1.3.2本文的安排本文总包括七章的内容。第二章首先阐述了直流电机的特点及其工作原理,分析了研究意义,提出了设计基于FPGA的直流电机,并且对研究的内容进行分析。第三章介绍了基于FPGA设计直流电机控制的设计环境,对FPGA,以及硬件描述语言进行了详细的介绍。第四章介绍了本次设计的系统框图,并且对各个模块进行了分析。第五章介绍了模块的详细设计,着重介绍了PID算法模块的研究及设计方案。第六章介绍了外围电路设计方案。1.4本章小结在本章中,首先阐述了直流电机的简单介绍,对整个系统研究有了一定的了第2章电机的基本知识第2章电机的基本知识4直流电动机与交流电动机相比较,具有良好的调速性能和启动性能。直流电动机具有宽广的调速范围,平滑的无级调速特性,可实现频繁的无级快速启动、制动和反转;过载能力大,能承受频繁的冲击负载;能满足自动化生产系统中各种特殊运行的要求。而直流发电机则能提供无脉动的大功率的直流电源,且输出的电压可以精确地调节和控制。但直流电机也有它显著的缺点:一是制造工艺复杂,消耗有色金属较多,生产成本高;二是运行的时候由于电刷与换向器之间容易产生火花,所以可靠性比较差,维护比较困难。所以在一些对调速性能要求不高的领域中己被交流变频调速系统所取代。但是在某些要求调速范围大、快速性高、精密度好、控制性能优异的场合,直流电动机的应用目前仍然占有较大的比重3115|,直流电动机和直流发电机的结构基本一样。直流电机是由静止的定子和转动的转子两大部分组成,在定子和转子之间存在一个间隙,称做气隙。定子的作用是产生磁场和支撑电机,它主要包括主磁极、换向磁极、机座、电刷装置、端盖等。转子的作用是产生感应电动势和电磁转矩,实现机电能量的转换,通常也称做电枢。它主要包括电枢铁心、电枢绕组以及换向器、转轴、风扇等。直流电机的结构图如图2.1所示。第2章电机的基本知识第2章电机的基本知识5图2.1直流电机的结构图中1-前端盖2-风扇3-定子4-转子5-电刷及刷架6-后端盖主磁极的作用是产生主磁通,它是由铁心和励磁绕组组成。铁心一般用lmm~1.5mm的低碳钢片叠压而成,小电机也有用整块铸钢磁极的。主磁极上的励磁绕组是用绝缘铜线绕制而成的集中绕组,与铁心绝缘,各主磁极上的线圈一般都是串联起来的。主磁极都是成对的,并按N极和S极交替排列。2.换向磁极换向磁极的作用是产生附加磁场,从而改善电机的换向性能。通常铁心由整块钢做成,换向磁极的绕组应与电枢绕组串联。换向磁极装在两个主磁极之间。其极性在作为发电机运行时,应该与电枢导体将要进入的主磁极极性相同;在作为电动机运行时,则应该与电枢导体刚离开的主磁极极性相同。机座一方面用来固定主磁极、换向磁极和端盖等,另一方面作为电机磁路的一部分称为磁轭。机座一般用铸钢或钢板焊接制而成。4.电刷装置在直流电机中,为了使电枢绕组和外电路连接起来,必须装上固定的电刷装置,它是由电刷、刷握和刷杆座组成的。电刷是用石墨等做成的导电块,放在刷握内,用弹簧压指将它压触在换向器上。刷握用螺钉夹紧在刷杆上,用铜绞线将电刷和刷杆连接,刷杆装在刷座上,彼此绝缘,而刷杆座则装在端盖上。第2章电机的基本知识-6-浙江大学硕士学位论文第2章电机的基本知识65.电枢铁心电枢铁心的作用是通过磁通以及安放电枢绕组。当电枢在磁场中旋转时,铁心将产生涡流和磁滞损耗。为了减少损耗,提高效率,电枢铁心一般用硅钢片冲叠而成。电枢铁心有轴向冷却通风孔。铁心外圆周上均匀分布着槽,用以嵌放电6.电枢绕组电枢绕组的作用是产生感应电动势和通过电流产生电磁转矩,实现机电能量的转换。绕组通常用漆包线绕制而成,嵌入电枢铁心槽内,并按一定的规则连接起来。为了防止电枢旋转时产生的离心力使绕组飞出去,绕组嵌入槽内后,用槽楔压紧;线圈伸出槽外的端接部分用无纬玻璃丝带扎紧。7.换向器由许多带有鸽尾形的换向片叠成一个圆筒,片与片之间用云母片绝缘,借V形套筒和螺纹压圈拧紧成一个整体。每个换向片与绕组每个元件的引出线焊接在一起,其作用是将直流电动机输入的直流电流转换成电枢绕组内的交变电流,从2.3直流电机的工作原理直流电动机在机械构造上与直流发电机完全相同,直流电动机的工作原理图如图2.2所示。电枢不用外力驱动,把电刷A、B接到直流电源上,假定电流从电刷A流入线圈,沿a→b→c→d方向,从电刷B流出。载流线圈在磁场中将受力,形成电磁转矩,结果使电枢逆时针方向转动,如图2.2a所示。当电枢转过90°时,如图2.2b所示,线圈中虽然无电流和力矩,但是在惯性的作用下继续旋转。 当电枢转过180°的时候,如图2.2c所示,电流仍然从电刷A流入线圈,沿d→c→b→a方向,从电刷B流出。与图2.2a比较,通过线圈的电流方向改变了,但两个线圈边受电磁力的方向却没有改变,即电动机只朝一个方向旋转。若要改变其转向,则必须改变电源的极性,使电流从电刷B流入,从电刷A流出才行。第2章电机的基本知识第2章电机的基本知识7图2.2直流电动机工作原理图a)受电磁力,逆时针转动b)不受电磁力,惯性转动c)受电磁力,逆时针转动d)不受电磁力,惯性转动由以上分析可得直流电动机的工作原理是:当直流电动机接入直流电源时,借助于电刷和换向器的作用,使直流电动机电枢绕组中流过方向交变的电流,从而使电枢产生恒定方向的电磁转矩,进而保证了直流电动机朝一定的方向连续旋2.3.1直流电机的励磁方式直流电机的励磁方式是指电机励磁电流的供给方式,根据励磁支路和电枢支路的相互关系,分为他励、自励(并励、串和复励)、永磁方式。1.他励方式他励方式中,电枢绕组和励磁绕组电路相互独立,电枢电压与励磁电压彼此2.并励方式并励方式中,电枢绕组和励磁绕组是并联关系,通过同一电源供电。第2章电机的基本知识-8-浙江大学硕士学位论文第2章电机的基本知识8串励方式中,电枢绕组与励磁绕组是串联关系。复励电机的主磁极上有两组励磁绕组,其中一组与电枢绕组并联,另外一组与电枢绕组串联。当两组励磁绕组产生的磁通方向相同时,称为积复励,反之称5.永磁方式随着永磁材料和功率电子元器件的不断进步,无刷直流永磁电动机得到了非常快速的发展,它们被广泛地用于工业、农业、国防、航空航天、现代科技和日因此,在电机技术的领域内,合理正确地设计无刷直流永磁电动机就成为了一个越来越重要的课题。在有刷直流永磁电动机中,电枢绕组设置在转子上,定子永磁体在气隙内形成激磁磁场。根据物理学定理,在此情况下,如果迫使电流在电枢绕组的某一根导体中流动,就会产生一个作用于该导体的电磁力,其表达式为i为导体内的电流(A)。电枢绕组由多个线圈(或者称为元件)所组成,每个线圈又是由若干线匝所组成。如果电枢绕组的总串联导体数为IV,且N>I,并携带同样的电流,则电磁力的量的值为在电动机内,作用在导体上的电动力对转子中心轴线形成一个力矩,迫使转子围绕中心轴线旋转。旋转电磁力矩的量的值为式中,M为电磁力矩(N.m);k₃为一个常数;R为导线所处位置相对转子中心轴线的半径(m).在有刷直流永磁电动机中,定子主要是由永磁体磁极、导磁轭和电刷构件所组成,转子主要是由电枢绕组和换向器所组成。电枢绕组按照一定的规律与换向器相连接,两个相邻线圈之间存在一定的角位移。假如说,处在N极下的某一线圈从0°电角度位置开始通电,转子便开始旋转,该线圈内的电流在气隙磁场内产第2章电机的基本知识-9-浙江大学硕士学位论文第2章电机的基本知识9生的旋转电磁力矩从0值开始由小到大,再由大变小,当转子转至180°电角度时,该线圈产生的旋转电磁力矩又回到0值。这个时候,该线圈离开了N极,进入S极下面,该线圈内的电流方向被自动地切换(开关)成相反的方向。开关动作是借助若干个电刷和一个换向器的机械结构来实现的,这种电枢线圈内电流方向的变换被称为机械换向。这样,在有刷直流电动机的某一磁极下,虽然线圈导体在不断地更替,但是只要外加电压的极性不变,线圈导体中流过的电流方向始终不变,作用在电枢上的电磁转矩的方向也始终不变,电动机的旋转方向也将始终不变,这就是有刷直流电动机的机械换向在无刷直流永磁电动机中,电枢绕组被设置在定子上,永磁体磁极则被设置定子的各相电枢绕组相对转子永磁体磁场的位置,由转子位置传感器通过电子方式或电磁方式感知;并且利用其输出信号,通过电子换向电路,按照一定的逻辑程序去驱动与电枢绕组相连接的相应的功率开关晶体管,把电流开关换向到相应的电枢绕组。随着转子的转动,转子位置传感器不断地发送出信号,从而使电枢绕组不断地依次通电,不断地改变通电状态,从而使得在某一磁极下的线圈导体中流过的电流方向始终不变,这就是无刷直流永磁电动机的无接触式电子换我们可以设想:在有刷直流永磁电动机中,如果把原先处于电动机内部的旋转电枢翻出来变成定子,而把所有被连接在机械换向器下面的电枢绕组的引线头抽出来,并且给每一个引线头都提供一个功率晶体管开关;而把原先处于外部的静止永磁体移入电动机的内腔变成转子,就可以把一台有刷型直流永磁电动机变换成一台无刷型直流永磁电动机。但是,这种实施方法必须包含大量的功率晶体管开关元件和与之相适应的转子位置传感器,就目前科技得水平而论,这种方法很难实施,或者说还没有实用价值。因此,在当前的无刷直流永磁电动机中,定子电枢采用类似于一般交流电动机中的三相绕组,借助转子位置传感器检测出转子永磁体磁场与定子电枢绕组三相轴线之间的相对空间位置,通过逻辑信号处理和控制,从而来实现定子电枢三相绕组的电子换向。永磁同步电机的应用范围:按照不同的工农业生产机械的要求,电机驱动又分为定速驱动、调速驱动和精密控制驱动三类。1.定速驱动--工农业生产中有大量的生产机械要求连续地以大致不变的速度第2章电机的基本知识-10-浙江大学硕士学位论文第2章电机的基本知识单方向运行,例如风机、泵、压缩机、普通机床等。对这类机械以往大多都是采用三相或单相异步电动机来驱动。异步电动机成本较低,结构简单牢靠,维修方便,很适合该类机械的驱动。但是,异步电动机效率、功率因数低、损耗大,而该类电机使用面广量大,所以有大量的电能在使用中被浪费了。其次,工农业中大量使用的风机、水泵往往也需要调节其流量,通常是通过调节风门、阀来完成的,这其中又浪费了大量的电能。从70年代起,人们用变频器调节风机、水泵中异步电动机转速来调节它们的流量,取得可观的节能效果,但变频器的成本又限制了它的使用,而且异步电动机本身的低效率依然存在。比如,家用空调压缩机原先都是采用单相异步电动机,开关式控制其运行,噪声和较高的温度变化幅度是它的不足。在90年代初,日本东芝公司首先在压缩机控制上采用了异步电动机的变频调速,变频调速的优点促进了变频空调的发展。近年来日本的日立、三洋等公司开始采用永磁无刷电动机来替代异步电动机的变频调速,显著提高了效率,获得更好的节能效果的同时进一步降低了噪声,在相同的额定功率和额定转速下,设单相异步电动要的体积和重量为100%,而永磁无刷直流电动机的体积为38.6%,重量为34.8%,用铜量为20.9%,用铁量为36.5%,效率提高10%以上,而且调速方便,价格和异步电动机变频调速相当。永磁无刷直流电动机在空调中的应用促进了空调的升级换代。再比如仪器仪表等设备上大量使用的冷却风扇,以往都采用单相异步电动机外转子结构的驱动方式,它的体积和重量大,效率低。近年来它已经完全被永磁无刷直流电动机驱动的无刷风机所取代。现代迅速发展的各种计算机等信息设备上更是无例外地均使用着无刷风机。这些年,使用无刷风机已形成了完整的系列,品种规格多,外框尺寸从15mm到120mm共有12种,框架厚度有6mm到18mm共7种,电压规格有直流1.5V、3V、5V、12V、24V、48V,转速范围从2100rpm到14000rpm,分为低转速、中转速、高转速和超高转速4种,寿命30000小时以上,电机是外转子的永磁无刷直流电动机。近年来的实践表明,在功率不大于10kW而连续运行的场合,为了减小体积、节省材料、提高效率和降低能耗等因素,越来越多的异步电动机驱动正在被永磁无刷直流电动机逐步替代。而在功率较大的场合,由于一次成本和投资较大,除了永磁材料外,还要功率较大的驱动器,故还比较少有应用。2.调速驱动--有相当多的工作机械,其运行速度需要任意设定和调节,但速度控制精度要求并不是非常高。这类驱动系统在包装机械、食品机械、印刷机械、第2章电机的基本知识-11-浙江大学硕士学位论文第2章电机的基本知识物料输送机械、纺织机械和交通车辆中有着大量应用。在这类调速应用领域最初用的最多的是直流电动机调速系统,而在70年代后随电力电子技术和控制技术的发展,异步电动机的变频调速迅速渗透到原来的直流调速系统的应用领域。这是因为一方面异步电动机变频调速系统的性能价格完全可与直流调速系统相媲美,另一方面异步电动机与直流电动机相比有着容量大、可靠性高、干扰小、寿命长等优点。所以异步电动机变频调速在许多场合迅速取代了直流调速系统。交流永磁同步电动机由于体积小、重量轻、高效节能等一系列优点,越来越引起人们重视,其控制技术日趋成熟,控制器也已经产品化。中小功率的异步电动机变频调速正逐步为永磁同步电动机调速系统所取代。电梯驱动就是一个典型的例子。电梯的驱动系统对电机的加速、稳速、制动、定位都有一定的要求。早期人们采用直流电动机调速系统,其缺点是不言而喻的。在70年代变频技术发展成熟,异步电动机的变频调速驱动迅速取代了电梯行业中的直流调速系统。而这几年电梯行业中最新的驱动技术就是永磁同步电动机调速系统,其体积小、节能、控制性能好、又容易做成低速直接驱动,消除齿轮减速装置;其低噪声、平层精度和舒适性都优于以前的驱动系统,所以适合在无机房电梯中使用。永磁同步电动机驱动系统很快得到各大电梯公司青睐,与其配套的专用变频器系列产品已有多种牌号上市。可以预见,在调速驱动的场合,将会是永磁同步电动机的天下。日本富士公司已推出系列的永磁同步电动机产品相配的变频控制器,功率从0.4kW~300kW,体积比同容量异步电动机小1~2个机座号,力能指标明显高于异步电动机,可用于泵、运输机械、搅拌机、卷扬机、升降机、起重机等多咱场合。3.精密控制驱动--高精度的伺服控制系统,伺服电动机在工业自动化领域的运行控制中扮演着十分重要的角色,应用场合的不同对伺服电动机的控制性能要求也不尽相同。在实际应用中,伺服电动机有各种不同的控制方式,例如转矩控制/电流控制、速度控制、位置控制等。伺服电动机系统也经历了直流伺服系统、交流伺服系统、步进电机驱动系统,直至近年来最为引人注目的永磁电动机交流伺服系统。最近几年进口的各类自动化设备、自动加工装置和机器人等绝大多数都采用永磁同步电动机的交流伺服系统。4.信息技术中的永磁同步电动机--当今信息技术高度发展,各种计算机外设和办公自动化设备也随之高速发展,与其配套的关键部件微电机需求量大,精度和性能要求也越来越高。对这类微电机的要求是小型化、薄形化、高速、长寿命、第2章电机的基本知识-12-浙江大学硕士学位论文第2章电机的基本知识高可靠、低噪声和低振动,精度要求更是特别高。例如,硬盘驱动器用主轴驱动电机是永磁无刷直流电动机,它以近10000rpm的高速带动盘片旋转,盘片上执行数据读写功能的磁头在离盘片表面只有0.1~0.3微米处作悬浮运动,其精度要求之高可想而知了。信息技术中各种设备如打印机、软硬盘驱动器、光盘驱动、传真机、复印机等中所使用的驱动电机绝大多数是永磁无刷直流电动机。受技术水平限制,这类微电机目前国内还不能自己制造,有部分产品是在国内组装的。2.3.2直流电机的基本方程式研究直流电动机的方程式是了解和分析直流电动机性能的主要方法和重要手段,直流电动机的基本方程式包括电压方程式、转矩方程式、功率方程式等。直流并励电动机的工作原理,以它为例来分析电压、转矩和功率之间的关系。并励电动机的励磁绕组与电枢绕组并联,由同一直流电源供电。接通直流电源后,励磁绕组中流过励磁电流If,建立主磁场;电枢绕组中流过电枢电流Ia,电枢电流与主磁场作用产生电磁转矩T,使得电枢朝转矩T的方向以转速n旋转,将电能转换为机械能,从而带动生产机械工作。1.电压方程式直流并励电动机中有两个电流的回路:励磁回路和电枢回路。下面主要分析电枢回路的电压、电流以及电动势之间的关系。直流并励电动机通电旋转后,电枢导体切割主磁场,产生电枢电动势Ea,在电动机中,此电动势的方向与电枢电流Ia的方向相反,称为反电动势。电源电压U除了提供电枢内阻压降laRa外,主要用来与电枢电动势Ea相平衡。列出电压上式表明直流电动机在电动状态下运行时,电枢电动势Ea总是小于端电压2.转矩方程式直流电动机正常工作时,作用在轴上的转矩有三个:一个是电磁转矩T,方向与转速n的方向相同,为驱动性质转矩;一个是电动机空载损耗形成的转矩T0,是电动机空载运行时的制动转矩,方向总与转速n的方向相反;还有一个是轴上所带生产机械的负载转矩TL,一般为制动性质转矩。TL在大小上也等于电动机的输出转矩T2。稳态运行时,直流电动机中驱动性质的转矩总是等于制动性质的转矩,据此可得直流电动机的转矩方程式:第2章电机的基本知识第2章电机的基本知识3.功率方程式:其电源输入的电功率为:第3章FPGA与硬件描述语言在本章中首先介绍FPGA的基本知识,以及所要涉及的芯片;在此基础上介绍设计中需要应用的硬件语言,以便使下面的设计更加完整,并方便阅读。3.1数字系统集成的基本概念数字系统单片化的具体表现是专用集成电路。在过去,我们常用定制电路和半定制电路来分类。其中半定制电路又可以分为门阵列、标准单元和现场可编程IC。随着现场可编程IC技术的不断成熟,现场可编程IC的设计和应用成本不断降低,器件规模和品种不断增加,在越来越多的领域和产品中,特别是生产批量小,转型更新更快的数字化传统产品中,直接采用以FPGA、CPLD为代表的现场可编程IC来实现硬件单片集成已成为时尚。现代集成电路设计与实现主要可分为工艺集成技术和现场集成技术。数字系统的工艺集成技术就是指采用半导体掩膜工艺的方法来实现数字系统的单片物理结构。这其中,主要的加工工艺技术为CMOS(互补金属-氧化物半导体)工艺。而主要电路的设计方式,则可以分为门阵列设计、标准单元设计和全定制电路设计等。不同的设计方式和不同的加工工艺流程互为规范和制约。为了实现不同的电路性能成本指标,需要不同的电路设计规范和工艺设计规范,并要制定合理的加工工艺流程。3.1.2现场采集技术现场集成技术,是指一个数字系统的单片化设计和实现可以在实验室现场进行,是指采用FPGA、CPLD为代表的可编程逻辑器件作为数字系统实现的目标载体来进行的数字系统单片的现场设计、现场仿真、现场实现的技术,对于利用现场集成技术来实现数字系统的单片化,其基本要素是:(3)针对具体目标器件的不同编程方式。在现场可编程逻辑器件领域,目前主要的产品主要分为3大类。所谓基于SRAM编程的FPGA,从结构上而言,主要是由3个部分组成:可编程逻辑块(CLB)、可编程输入/输出模块IOB和可编程内部连线PI组成。基于EPROM或EEPROM编程的CPLD,主要由可编程I/O模块、可编程逻辑单元模块(LB)、可编程布线池(PIA)组成。其特点是:芯片功能的定义是由阵列分布EPROM或EEPROM型的下拉MOS开关来控制。(3)基于一次性编程的反熔丝FPGA反熔丝FPGA的主要特点是功耗低,布线通路丰富,逻辑元胞粒度小。另一方面,众所周知,采用反熔丝技术的FPGA尽管具有许多优点,但是却有一个致命的弱点,也就是只能进行一次性编程,这就为大规模FPGA产品的开发带来了许多不便。为了弥补这一不足,近年来,一种新型的集高密度、低功耗、非易失性和可重新编程于一身的非易失性、可重新编程的门阵列已经推向市场。(4).FPGA未来发展方向以FPGA、CPLD为代表的现场可编程逻辑电路的主要发展方向是:①为了迎接系统级芯片时代,向着密度更高、速度更快、频带更宽的数百万门超大规模的方向发展。②为了方便用户设计和特殊功能的应用,向着嵌入通用或者标准功能模块方③为了适应全球环保潮流,向着低压、低功耗的绿色元件方向发展。同时,模拟可编程阵列、数/模混合可编程阵列,动态可重构阵列器件等新概念的设计也正在涌现。归纳起来有以下几点:IP库的发展及应用。为了更好地满足设计人员的需求,也为了扩大市场,各大现场可编程逻辑器件厂商都在不断地扩充其知识产权(IP)库内核,这些内核库都有预先定义的、经过测试和验证的、优化的和可保证正确的功能。设计人员可以利用这些现成的IP库资源,高效率准确地完成复杂的片上系统的设计。典型的IP内核库有Altera公司提供的LogicCORE和AllianceCORE.现场集成的设计工具及IP库资源用于数字系统现场集成的EDA工具,主要包括设计输入(DesignEntry),设计根据设计的需要,基于可用的元件库和IP库资源,设计者可反复调用工具,交错进行电路的设计、实现和仿真。1.由Mentor技术公司开发的Modelsim,是工业上最通用的仿真器之一,可了最友好的调试环境,是唯一的单内核支持VHDL和Verilog混合仿真的仿真器。是作FPGA和ASIC设计的RTL级和门级电路仿真的首选,它采用了直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真的速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强而有力的手段。全面支持VHDL和Verilog语言的IEEE标准,也支持C和Modelsim软件是用于将设计好的程序进行编译和仿真,验证程序的正确性和通过查看波形图可以总结出程序所要实现功能的正确性,以便于程序的修改。·RTL级和门级优化,本地编译结构,编译仿真速度快,跨平台跨版本仿真;·集成了性能分析、波形比较、代码覆盖、数据流ChaseX、SignalSpy、虚拟对象VirtualObject、Memory窗口、Assertion窗口、源码窗口显示信号值、信号条件断点等众多调试功能;2.Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP (IntellectualProperty)核,长期以来一直在推动着FPGA技术的发展。Xilinx的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE系列,集成了FPGA开发需要的所有功能,其主要特点有:包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最-17-浙江大学硕士学位论文-17-浙江大学硕士学位论文短的时间内提供了最高的性能,提供了一个功能强大的设计收敛环境。全面支持Virtex-5系列器件(业界首款65nmFPGA)。集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈。可以节省一个或者多个速度等级的成本,并且可以在逻辑设计中实现最低的总成本。芯片占有很大的市场,使其成为非常通用的FPGA工具软件。ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使得软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。ISE的主要功能包括了设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能方面讲,其工作流程不需要借助任何第三方EDA软件。设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(TheISETextEditor),用于原理图编辑的工具ECS(TheEngineeringCaptureSystem),用于生成IPCore的CoreGene计的StateCAD以及用于约束文件编辑的ConstraintEditor等。综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现了无缝链接。仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDLBencher,同时又提供了使用mentor公司的Modelsim来进行仿真的接口。实现:此功能包括了翻译、映射、布局布线等,还具备了时序分析、管脚指定以及增量设计等高级功能。下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,其功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。使用ISE进行FPGA设计的各个过程涉及到的设计工具如表3.1所示[23]。表3.1ISE设计工具表设计输入综合仿真实现下载HDL文本输得器StatoCAD状态机辅辑器-18-浙江大学硕士学位论文-18-浙江大学硕士学位论文现场集成的编程方式在现场可编程集成电路的应用设计中,针对具体目标器件,需要不同的编程方式来实现目标数字系统的下载。根据不同的器件结构,目前常用的下载基本可(1).在线系统可编程技术ISP(In-SystemProgrammabilitity)。具有ISP功能的器件在下载时无需专门的编程器,可直接在已制成的系统(称为目标系统)中或印制板上对芯片进行编程数据下载。ISP技术为系统设计和制造带来了很大的灵活性。目前大多数CPLD新的芯片均采用ISP编程技术。(2).在线系统可重配置技术ISR(In-SystemReconfiguration)。具各ISR功能的器件也可直接在目标系统中或印制电路板上通过数据下载电缆配置和重新配置,无需专门的编程器。因为ISR器件是基于SRAM编程技术,所以在系统掉电后,芯片的编程信息会丢失。(3).一次性编程技术。具备这种编程技术的FPGA采用反烙丝制造工艺,一旦编程就不可改变,适用于高可靠性低功耗的使用场合。下面,来简单讨论以上所述的3种技术。传统的PLD芯片需用专门的编程器来实现器件的功能定义。ISP技术则不需要用专门编程器,直接在用户的目标系统或印制板上对PLD芯片进行数据下载,故称为在系统可编程。具有ISP性能的CPLD器件基本上基于EECMOS工艺制造。其编程信息存储于EEPROM内,可以随时进行电编程和电擦除,且掉电时其编程信息不会丢失。但由于器件已经安装在目标系统或印制电路板上,它的各个引脚与外部电路相连,因此在编程时最关键的问题就是如何使芯片与外部逻辑相脱离。对此,具备ISP的CPU芯片在设计时,已经采取了专门措施,使其在编程时,器件第3章FPGA与硬件描述语言器件1器件1器件2器件NTD01图3.1单端系列边界扫描链AlteraCPLD支持ISP技术,单端系列边界扫描链如图3.1所示。例如对于一个或更多个系列器件可以被连接在一起,利用一个标准的4引脚来实现JTAG协议系统内编程。JTAG(即IEEE边界扫描标准1149.1)是一种利用软件的测试标准。利用该标准进行在线编程,可以有效地降低成本。该标准的主要优点在于:它能利用软件的方法有效解决复杂印刷电路板的测试问题。该标准定义了一种硬件的结构以及使用它的机理。链上的所有器件共享TCK和TMS信号。系统TDI信号与边界扫描链的第一个器件的TDI输入相连。来自第一个器件的TDO信号与边界扫描链上的第二个器件的TDI输入相连,依此类推。边界扫描链上的最后一个器件的具有ISR功能的FPGA器件基本都采用了SRAM制造工艺,由SRAM存储配置工作电源低于额定值时)将丢失所储存的信息。采用这类FPGA的数字系统在每次接通电源后,首先必须对该器件的SRAM加载数据,也就是重新装入器件功能配置数据。FPGA芯片所具有的逻辑功能将随置入的数据不同而不同。配置器件的过程与ISP相似,也是在用户的目标系统或印刷电路板上进行的,所以被称为在线SRAM现场可编程门阵列(FPGA),在器件结构设计时,就设置了三个模式控表3.2显示了FLEXIoK系列器件的配置模式一览。其主要包括四种不同韵模式表3.2FLEK10K系列器件的配置模式配置模式主动串行模书从动并行模上拉电阻前配置方向宽度18是否无000有001有010无011边界扫描模有100无1否无101从动串行模有1101是无111对于FPGA器件来说,可以进行主动串行模式、从动并行模式、边界扫描模式和从动串行模式四种配置方式,通过对于M0、M1、M2分别置0和置1来决定器件的配置模式。在主动串行模式,FPGA驱动时钟输出来控制配置过程。而在从动串行模式,FPGA被动接收CCLK作为输入,来控制配置过程。在两个模式中,FPGA在每个时钟周期下载1位数据,配置数据的最高位首先被写到Din脚。在主动串行模式下,FPGA的时钟CCLK输出驱动一个串行PROM,并且将串行配置数据馈给FPGA的这是常用的一种最快速的器件配置模式。在配置时钟频率可达50MHz以上。设定从动并行模式,仍是由M0M1M2的电路决定,此时其值为“011”。这种配置可由微处理器,微控制器或CPLD来控制从动并行接口,这种控制可提供一定第3章FPGA与硬件描述语言位宽的配置数据下载,时钟CCLK信号,片选(CS)信号和写信号(Write)。如果芯在FPGA配置以后,这些从动并行端(D0-D7)仍然可以用来作为另外一些用户I/O使用。并且,这些端也可用于8-Bit位的高速数据回读。在采用边界扫描式来对FPGA器件配置或回读配置数据时,不需要使用非专进行数据配置时,需要采用专门的CFG、IN指令,这个指令可使到达TDI的输入数据转换成内部配置总线的数据包。(3).反熔丝(antifuse)编程技术FPGA,其逻辑功能的定义是由专用编程器,根据设计实现所给出的数据文件,对其内部的反熔丝阵列进行有的放矢的烧录,从而使器件一次性实现相应的逻辑(a)未编程的反熔丝元胞图3.2反熔丝原胞图3.3给出一个典型的反熔丝开关示意图。图中PLICE反熔丝是在n+扩散和多3.2FPGA设计流程FPGA基本的开发流程主要包括:*设计输入(DesignEntry);*设计仿真(Simulation);*设计综合(Synthesize);*布局布线(Place&Route);基于ISE的FPGA设计基本流程图如图3.3所示功能仿真时序仿真仿真网表下载配置图3.3基于ISE的FPGA设计基本流程设计输入:主要有原理图输入、HDL输入和状态图输入三种方式,一般开发商都同时支持三种输入方式。还有的甚至提供更多的输入方式,如Xilinx公司的ISE6.0就提供四种输入方式,包括EDIF网表输入。有些熟悉硬件设计的工程师开始喜欢利用原理图进行设计,这种方法非常直观,但是基于可移植性和规范化方面的考虑,绝大部分深入FPGA设计和ASIC设计的工程师最终都将统设计仿真:包含功能仿真和时序仿真两项主要内容,功能仿真忽略了综合和布局布线导致的时延等因素,仅仅从逻辑上进行仿真,这对设计思路的验证是有帮助的,但必须通过时序仿真作进一步验证,发现并且修正时序问题[S。设计综合:将HDL语言生成用于布局布线的网表和相应的约束。综合效果直接导致设计的性能和逻辑门的利用效率,因此,很多可编程逻辑器件开发商都布局布线:工具利用综合生成的网表,在FPGA内部进行布局布线,并且生成可用于配置的比特流文件(有了比特流文件就可以下载到板子里了)。布局布线工具与可编程逻辑器件工艺及其布线资源密切相关,一般由可编程逻辑器件开发商直接提供。3.3硬件描述语言设计方法3.3.1硬件描述语言发展概况硬件描述语言(HardwareDescriptionlanguage)是硬件设计人员和电子设计自动化(EDA)工具之间的界面。其主要目的是用来编写设计文件建立电子系统行为级的仿真模型,即利用计算机的巨大能力对用VerilogHDL或VHDL建模的复杂的数字逻辑进行仿真.然后再自动综合从而生成符合要求且在电路结构上可以实现的数字逻辑网表(Netlist),根据网表和某种工艺的器件自动生成具体电路.然后生成该工艺条件下这种具体电路的延时模型,仿真验证无误后,用于制造ASIC芯在EDA技术领域中把用HDL语言建立的数字模型被称为软核(softcore),把用建模和综合后生成的网表称为固核(Hardcore),对这些模块的重复利用不仅缩短了开发时间,而且还提高了产品开发率和设计效率[1]。近10年来,EDA界一直对在对数字逻辑设计中采用哪种硬件语言而争论不休,目前的情况是各有千秋。在美国,日本和中国台湾高层次数字系统设计绝大部分都采用VerilogHDL,而在欧洲VHDL发展的比较好。VerilogHDL是专门为复杂数字系统设计仿真而开发的,本身就非常适合复杂数字逻辑系统的仿真和综合。由于VerilogHDL在其门级描述的底层,也就是晶体管开关的描述方面比VHDL有着强大的功能,所以即使是在VHDL的设计环境下,在底层实际也是由VerilogHDL描述的器件库支持的。在深亚微米ASIC和高密度FPGA已经成为电子3.3.3采用硬件描述语言的设计流程利用层次化、结构化的设计方法,一个完整的设计任务首先是由设计师划分为若干个可操作的模块,编制出相应的模型;在通过仿真验证后,然后把模块分给下一层的设计者。这就允许多个设计者同时设计一个硬件系统中的不同模块,其中每个设计者都有负责自己所承当的部分,而由上一层设计师对其下层设计者完成的设计用行为级上层模块对其设计进行验证。为了提高设计质量,一部分模第3章FPGA与硬件描述语言块可以通过商业渠道得到,这样可以节省开发时间和经费。图3.4为自顶向下设计系统级设计系统级设计模块B模块A1模块A2模块B1模块B2模块C1模块C2模块A模块C图3.4自顶向下设计思想自顶向下设计是从系统级开始,把系统划分为基本单元,新产品的开发总是系统设计入手,先进行方案的总体论证、功能描述、任务以及指标的分配。复杂数字逻辑电路和系统的层次化、结构化设计隐含着对系统设计方案的逐次分解。在设计过程中的任意一个层次,至少得有一种形式来描述硬件。硬件描述通常称为行为建模。在集成电路设计的每一层次,硬件可以分为一些模块。该层次的硬件结构由这些模块互相描述。这些模块称为该层次的基本单元,而该层次的基本单元又有下一层次的基本单元互连而成。(3)具体模块的设计编译和仿真过程在不同的层次做具体模块的设计所用的方法也有所不同。在高层次上往往编写一些行为级的模块通过仿真加以验证,其主要的目的是系统性能的总体考虑和各模块的指标分配,并非具体电路的实现,因此综合以上的步骤往往不需要进行;而当设计接近底层时,行为描述往往要用电路逻辑来描述实现。此时模块不但需要通过仿真加以验证,而且还要通过进行综合、优化和后仿真。总之,具体电路是从底向上逐步实现的。图3.5简要的说明了模块的编译和测试过程。电路图设计文电路图设计文HDL设计文电路功能仿HDL功能仿确定实现电路的合生成的网络类优化、布局布线有问题电路制造工艺文件与物理器件相关的布局HDL设计文没问题图3.5HDL设计流程设计验证:进行各种仿真的一系列步骤。如果仿真中遇到问题,就返回设计的工艺各不相同,因此当用不同厂家的不同器件来实现已验证的逻辑网表(EDIF本章着重介绍了FPGA以及FPGA的一些基本原理,还有硬件描述语言以及26-浙江大学硕士学位论文第4章直流电机系统设计方案第4章直流电机系统设计方案4.1直流电机控制实现方案的比较4.1.1以DSP为核心的电机控制系统与单片机的电机控制系统的比较使用高性能的数字信号处理器(DSP)来解决电机控制器不断增加的计算量和速度需求是目前最为普遍的做法之一。将外部设备比如模数转换(A/D),脉宽调制发生器(PWM),以及信号处理器(DSP)等结合在一起,就可以获得一个功能强大又非常经济的电机控制的专用DSP芯片。近些年来,各种集成化的单片DSP性能得到了很大的提高,软件以及开发工具越来越多,也越来越好,但是价格却大幅降低。低端产品的价格已经和单片机的价格非常接近,但是却比单片机有着更好的性价比。越来越多的单片机拥护开始选择DSP来提高产品的性能,DSP器件已经越来越多的开始取代高档单片机。首先,与单片机相比,DSP具有更高的集成度。DSP器件拥有更快的CPU,存储容量更大的存储器,内置的波特率发生器以及FIFO缓冲器,并且提供高速和同步的串口以及标准的异步串口。有的DSP芯片内集成了A/D转换和数据采样/保持电路,可以提供脉宽调制(PWM)的输出。更加不同的是,DSP作为精简指令器件,大部分的指令都可以在一个周期之内完成,而且经过并行处理技术,具有独立结构和数据空间,允许同时存取数据和程序。DSP内部有内置了高速度的乘法器,多级的流水线,使得DSP的器件就拥有高速度数据的计算能力。而单片机作为复杂指令系统计算机(CISC),多数指令要2~3个指令周期内完成。单片机采用了冯.诺依曼结构,数据和程序都在同一个空间内存取,同一个时刻不能单独访问指令和数据,ALU只能做加法,乘法器的工作需要由软件来实现,因此需要占用更多的指令周期,所以运行速度较慢。由此可知,结构上的不同使得DSP比16位单片机单指令执行速度快8~10倍,完成一次乘法运算要快16~30倍。不仅如此,DSP还提供了高度专业化的指令集,提供了FFT快速傅里叶变换和滤波器的运算。除此之外,DSP还提供了JTAG(JointtestActionGroup)接口,具有更先进的开发手段,批量生产测试更加方便。其次,基于DSP器件制造的电机控制器可以大大地降低对于传感器等外部器27-浙江大学硕士学位论文第4章直流电机系统设计方案件的要求。通过复杂的算法可以达到同样的控制性能,不仅降低了成本,而且提高了可靠性,有利于专利技术的保密。现在各大DSP芯片厂商都在推出自己的内嵌DSP电机控制专用集成电路,比如占DSP市场45%的美国德州仪器公司,他们凭借着自己的实力,推出了电机控制器专用DSP芯片-TMS320C24x。新的TMS320C24xDSP采用TI公司的TMS320C2xLP16位定点DSP内核,并且集成了一个电机事件管理器,电机事件管理器的特点是以最佳方式来实现对电机的控制。这个器件利用了TI的可重用DSP核心技术,显示出了TI的特殊能力通过在单一的芯片上集成了一个DSP和混合信号的外设,制造出面向各种应用的DSP方案。TMS320C24x作为历史上第一个数字信号处理电机控制器的专用DSP器件,可以支持用于控制电机的指令产生,控制算法的处理,数据的交流,还有系统监控的功能等等。集成的DSP内核,最优化的电机控制事件管理器还有单片式的A/D设计等等诸多的功能模块加在一起,就可以提供一个单芯片式的数字电机控制方案。第三,DSP芯片运算速度快,控制策略中可以使用先进的实时算法,可以大大提高控制系统的品质。而且DSP的软件可以用C语言或者汇编语言又或者两者嵌套使用。因此使用DSP芯片制造的电机控制器更加便于用户的调试和应用。最后,在越来越多的场合,比如电动汽车,纺织行业,水泵变频调速系统等,他,他们往往是规模较大,时序、组合逻辑都很复杂的情况,这时候如果同时运用DSP和一些其他的可编程逻辑可以大大减小系统的体积,提高系统的运算能力,实现更加复杂的适时控制。4.1.2FPGA设计与单片机设计的比较1.FPGA运行速度快FPGA内部集成锁项环,可以把外部时钟倍频,核心频率可以到几百M,而单片机运行速度低的多,在高速场合,单片机无法代替FPGA2.FPGA管脚多,容易实现大规模系统单片机I/O口有限,而FPGA动辄数百I?O,可以方便连接外设。比如一个系统有多路AD、DA,单片机要进行仔细的资源分配,总线隔离,而FPGA由于丰富的I/O资源,可以很容易用不同I/O资源连接各外设。3.FPGA内部程序并行运行,有处理更复杂功能的能力单片机程序是串行执行的,执行完一条才能执行下一条,在处理突发事件时28-浙江大学硕士学位论文第4章直流电机系统设计方案只能够调用有限的中断资源;而FPGA不同逻辑可以并行执行,可以同时处理不同任务,这就导致了FPGA的工作效率更高。以,FPGA又是单片机和DSP的超集,也就是说,单片机和DSP能实现的功能,FPGA都可以实现。4.1.3硬件描述语言设计与传统技术设计对比硬件描述语言特点硬件描述语言是一种独立于现实技术的语言,它不受某一特定工艺的束缚,允许设计者使用范围内选择工艺和方法。为了适应未来的数字硬件技术,硬件描述语言还提供了将新技术引入现有设计的潜力,硬件描述语言最大的特点是描述的能力非常强,覆盖了逻辑设计的诸多领域和层次,将支持众多的硬件模型。VHDL是一种全方位的硬件描述语言,包括系统行为级、寄存器传输级和逻辑门级多个设计层次,支持结构、数据流、行为三种描述形式的混合描述,因此VHDL几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电具体而言硬件描述语言有如下的优点:1.支持从系统级到门级电路的描述,同时也支持多层次的混合描述;描述形式可以是结构描述,也可以是行为描述,或者两者兼而有之。2.不仅支持自底向上(bottom-up)的设计,也支持自顶向下的设计(top-down)3.不仅支持同步电路,也支持异步电路;不仅支持同步方式,也支持异步4.支持传输延迟,也支持惯性延迟,可以准确地建立复杂电路的硬件模型。5.支持过程与函数的概念,有助于设计者组织描述,对行为功能进行进一6.提供了将独立的工艺集中于一个设计包的方法,便于作为标准的设计文档的保存,也便于设计资源的重用。7.提供了向设计实体传送环境信息的能力。8.断言语句可用来描述设计本身的约束信息,支持设计直接在描述中书写29-浙江大学硕士学位论文第4章直流电机系统设计方案错误条件和特殊约束,不仅便于模拟测试,而且为综合化简提供了重要的信息。硬件描述语言设计与传统设计技术的优势比较(1).传统的设计方式就小规模的设计灵活性和集成度来说,低于500门的简单CPLD经常被成功地采用。其传统的设计技术,诸如卡诺图,常用于生成在CPLD中实现设计功能的设计方程。使用具有一定语言规则的简单语言来形成组合,设计者首先以数据文件的格式着手设计方程,然后由软件来进行方程的综合,最后形成一个用于CPLD器件编程的数据文件。(2).传统设计方式的缺点对于采用CPLD、FPGA或者ASIC的大型系统,上述传统的逻辑方程的形式是行不通的,传统技术生成逻辑方程的方式不仅费时又容易出错,而且在方程式中查找错误也是很困难的。同样,图形输入设计方式也是有局限的。图形输入方式有许多优点,例如:不仅可以提供设计的图形观察,还具有支持图形的软件工具,使设计构成模块化形式。但是,对于大型复杂的设计,纯图形的输入也是有其弊①控制逻辑往往必须用传统设计技术产生。③原图的保持比较困难,在设计实现过程中,经常需要对设计进行修改,同时,在实现过程中,设计构图的形式也会随之改变。③原图的方式经常需要附一个文本来描述它的设计构思和功能,用英语或其他语言形式以能够对用户提供设计解释。VerilogHDL与VHDL都是用于逻辑设计的硬件描述语言,都已成为IEEE的标准。之所以VHDL比VerilogHDL早成为IEEE标准,是因为VHDL是美国军方组织开发的,而VerilogHDL则是从一个普通的民间公司开发来的,基于VerilogHDL的优越性才成为IEEE标准,因此有更强的生命力。VHDL全名为VeryHignLanguage,而VHSIC是VervHignspeedIntegratedcircuit的缩写,意为超高速集成电路,因此VHDL准确得翻译应该为超高速集成电路的硬件描述语言。VerilogHDL与VHDL同样作为硬件描述语言,共同点在于:能形式地抽象表30-浙江大学硕士学位论文第4章直流电机系统设计方案示电路的行为和结构,支持逻辑设计中层次和范围的描述,可借用高级语言的精巧结构来简化电路行为的描述,具有电路仿真与验证机制以保证设计的正确性。与VHDL相比,Verilog最大的优点在于:它是一种非常容易掌握的语言,只要有C语言的基础,经过20个学时的学习,再通过一段时间的实际操作,就可以掌握此设计技术;而VHDL设计技术就比较困难。这是因为VHDL不直观,还需要有Ada的编程技术,一般要经过半年以上地培训才可以掌握。VerilogHDL在系统级抽象方面比VHDL要略差一点。而在门级开关电路描述方面比VHDL强得多。FPGA:时序控制能力很强(时序能力强,没有指令周期,速度快)。控制能力较强(由于没有指令集,不如ARM和单片机)。数字信号处理及算法弱(这里讲的弱是指内部不集成DSP的前提下)。DSP:时序控制能力较弱(没办法。有了指令集,就有指令周期。而且受到时钟约束)。控制能力比较强(有指令集。但是不是专业搞控制的)。数字信号处理及算法强。鉴于FPGA加上硬件描述语言的设计方法与单片机以及DSP等设计方法之间的对比,本次设计采用FPGA加上硬件描述语言进行设计。4.2系统设计框图系统设计框图如图4.1所示。31-浙江大学硕士学位论文第4章直流电机系统设计方案频率设定PWM波发生器PWM输出PID算法模块误差值图4.1系统设计框图PWM波发生器模块:目前,采用全控型的开关功率元件进行脉宽调制(PulseWidthModulation,简称PWM)控制方式已成为直流电机控制的主流。开关是使半导体功率器件工作在开关状态,通过更改脉宽调制(PWM)的占空比来控制电动机电枢电压,实现调速。在PWM信号的激励下,电动机电枢绕组两端的平均电压输出波形的占空比)。在此基础上,加上闭环的控制回路,便可以达到对速度的稳定控制。本次设计PWM产生模块的主要内容就是要设计一个可调占空比的PWM来控制直流电机的转速。而PWM占空比的调节主要来自于PID算法的对于误差值的调节,由于直流电机的实际转速与设定值之间有误差,所以采用PID算法来消除,改变PWM的占空比,从而实现调整直流电机的转速的功能。PWM模块的输入是PID算法输出的占空比值,以及时钟,PWM模块的输出是一个经过PID调整过占空比的脉冲。PID算法模块:PID算法模块的作用就是要消除直流电机的实际转速与设定值32-浙江大学硕士学位论文第4章直流电机系统设计方案之间的误差。所以PID算法是本次设计的重点。PID模块的输入是设定值与直流电机实际转速的误差值,这个误差值经过PID算法得到一个数值,这个数值就是PWM的占空比,如何调节直流电机的转速就是要调节这个PID算法的输出值。传统的PID算法模拟控制器是一种线性调节器,包括了微分,积分等部分,占用资源较多,本次研究一种占用资源较少的增量式PID算法,其实通过对模拟PID算法规律离散化得到的,其中只有比例调节,没有积分和微分,从而减少了对资源的占用。4.3设计的目的及其要求实现PWM对直流电机的驱动本次研究的目标是研究基于FPGA平台采用PID算法调节PWM的占空比,从而调节直流电机的转速,以及研究PID算法对于控制系统。4.4本章小结本章主要介绍了通过FPGA、DSP、单片机之间的对比选择本研究的方案。以及主要模块划分以及各模块的功能介绍。第5章模块设计5.1PID控制模块△u(k)=u(k)-u(k-1)=K[e(理想微分图5.1实际微分PID算法方框图这样算法的设计思路是人为设置为控制不灵敏区eo,当偏差在不灵敏区范围内化工等行业获得广泛应用。随着电子协同设计自动化5.1.1增量式PID控制算法第5章模块设计浙江大学硕士学位论文第5章模块设计增量式数字PID的FPGA设计与实现由式(3)可得到增量式PID算法中只要存储最近三个误差采样值e(k),e(k-1)和e(k-2),就可以实现其功能,实现此增量式PID控制算法的结构图如图5.2所十X图5.2增量式PID控制算法结构图在图5.2中,e(k),e(k-1)和e(k-2)是误差的采样值,m1、m2、m3是给定采样周期和比例常数后即可计算出的常数值,△u是增量式PID控制系统的输出值。假定实际控制系统中误差e(k)的位宽为8位,其他的三个参数ml、m2、m3,也采用8位的位宽。因此在使用VHDL语言实现PID控制算法中的乘法和加法运算时,可以采用16位定点运算的方法,其格式是最高位表示符号位,其余位表示数值位。当最高位为0时,表示为正,最高位为1时,表示为负。由于在设计时采用定点(fixed-point)16位的格式作为算术运算的标准格式,因此数值的有效表示范围为-32767~32767,用以表示实际的数值-3.2767~+3.2767。图5.3所示为数值转换图。此种格式的精度可以达到小

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论