直接频率合成理论及其在msk数字调制中的应用_第1页
直接频率合成理论及其在msk数字调制中的应用_第2页
直接频率合成理论及其在msk数字调制中的应用_第3页
直接频率合成理论及其在msk数字调制中的应用_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

直接频率合成理论及其在msk数字调制中的应用

0fpga技术在民用通信系统中的应用最小频位移极限控制(msk)具有连续性相位。输出光谱紧凑,频率滚动快,带外抑制高,抗干扰性好。因此,它在军事和民用通信领域得到了广泛应用。目前,小型化、通用化和模块化已成为通信产品的发展趋势,采用FPGA以全数字化方法实现通信系统中的关键模块是一种切实有效的方法。Altera公司推出的DSPBuilder工具将系统仿真和数字开发结合起来,大大提高了通信系统的开发效率。1dds相位动态特性1971年,美国学者J.Tiency和B.Gold提出了一种以全数字技术,从相位概念出发直接合成所需波形的频率合成原理,这就是直接数字频率合成技术。DDS基于查找表方法,将一个正弦波周期的N个均匀采样点存储在存储器中,以均匀速率将这些采样点输送到DAC,即可得到一个频谱纯净的单频正弦波,如果每隔K个采样点输出一个数据,则会得到K倍频的正弦波。但是,系统会存在一个上限频率,这取决于N的选择和系统的采样频率。设一个单频正弦波为:s(t)=sin(2πf0t)(1)对其以采样频率fs进行采样,得到:s(n)=sin(2πf0nTs)(2)同时定义正弦信号的相位增量为:Δφ=2πf0Τs=2πf0fsΔφ=2πf0Ts=2πf0fs(3)相位增量描述了相邻两个采样点之间的相位变化,DDS技术通过控制这个增量的大小来改变输出频率。通常将一个周期的正弦波均匀分为N份,取N为2的M次整数幂。则每一份相位的大小是:δ=2π/N(4)这就是最小的相位增量,按此增量均匀输出每个采样点,就可以得到最低的合成频率。如果每隔K个点输出一个采样值,则相位增量就是Kδ,合成的频率为:f=Κδ2πΤs=ΚΝfsf=Kδ2πTs=KNfs(5)根据采样定理,K的最高取值理论上应该小于等于N的一半。如果想输出更高的合成频率,只有增加一个周期内的采样点数N,也就是增加采样频率。于是得到DDS的一般实现方法,如图1所示。增量控制也叫频率控制字,它用来改变相位增量的大小。相位累加器由一个M位加法器和一个M位移位寄存器组成。加法器的一个输入端和寄存器输出相连,一个输入端和频率控制字输入相连。相位累加器的输出对相位-幅度转换器中的查找表寻址,输出相应的采样点,经过DAC就可以得到所需要的正弦信号。DDS具有极高的频率稳定度和极低的相位噪声,输出频率范围很宽,输出变化是一个平稳的过程,其相位变化是连续的,易于集成易于调整。已广泛应用于通讯、导航、雷达、遥测和工业等各领域。2基于dds的msk调制器MSK(最小频移键控)调制是一种二进制连续相位的恒包络相位调制,其功率谱密度随频率的4次方幂下降,而不是像FSK那样呈2次方幂下降。因此其已调波信号的功率谱紧凑,带外干扰小,特别适合在非线性信道中传输。MSK是连续相位调制(CPFSK)的一种,CPFSK的表达式为:S(t)=Acos(ωct+πΤbukht+φk)S(t)=Acos(ωct+πTbukht+φk)(6)式中,uk为输入二进制信息;φk为保持相位连续的相位常数,它和过去的调制过程有关,其取值为0或者±π;h为调制指数,其表达式为:h=(f2-f1)Tb=2ΔfTb(7)当h取0.5时,此时的CPFSK信号就成为MSK。于是得到MSK已调波的表达式为:S(t)=Acos(ωct+π2Τbukt+φk)S(t)=Acos(ωct+π2Tbukt+φk)(8)可以证明,MSK信号的两个符号的相关系数为0,即MSK是一种正交调制。经过以上分析,可以得到生成MSK信号的3个必备条件:①当输入为1时,输出已调波频率为f1,当输入为-1时,输出已调波频率为f0;②码元转换时刻相位连续;③输出频率和码元速率满足以下关系:f1=m2Τb,f2=m+12Τb,f2-f1=12Τbf1=m2Tb,f2=m+12Tb,f2−f1=12Tb(9)MSK信号可以通过BPSK调相加串行滤波产生,也可以基于同相、正交两路信号以并行方式产生。基于DDS的MSK调制器充分利用了DDS的优点,简化了系统的设计,性能上却没有太大损失。基于DDS的MSK调制器,首先根据码元速率和已调波符号频率之间的关系得到传号频率、空号频率和载波的取值。然后,根据采样频率大小和先前得到的频率值,并采用式(10)计算频率控制字的取值。f=Κδ2πΤs=ΚΝfs(10)最后,由输入码元控制二选一选择器,当码元为1时选择频率控制字A,当码元为-1时选择频率控制字B,在输出端就得到了相应的MSK已调波。解调可采用正交相干解调,也可采用差分解调。其中,差分解调不需要恢复载波,易于实现,是一种简单易行的解调方法。设接收信号为S(t),将其延时一个码元时间后再相乘,然后通过后续的低通滤波器滤除二倍频分量,得到:12A2cos(2πfcΤb+π2uk)(11)对式(11)进行抽样判决,就可以得到解调信号输出。完整的调制解调原理如图2所示。3基于sim选型的设计仿真DSPBuilder是Altera公司推出的一个数字信号处理开发工具,它在QuartusIIFPGA设计环境中集成了Matlab和Simulink开发环境,允许设计者在Matlab中完成算法设计,在Similink中完成系统仿真,然后通过Altera提供的SignalCompiler编译器编译生成可以在QuartusII中使用的VHDL文件和TCL脚本,用于仿真,综合和硬件实现。采用DSPBuilder进行DSP设计的流程如图3所示。设计者也可以利用DSPBuilder进行寄存器传输级(RTL)设计,并自动生成可以在QuartusII中仿真分析的测试文件。所有的这些文件均是针对Altera系列器件优化过的。DSPBuilder允许系统、算法和硬件设计共享一个通用的开发平台。Altera为设计者提供了丰富多样的IP资源,结合Simulink强大的仿真环境,可以使设计者在很短的时间内快速的完成数字系统的设计。SignalCompiler是Altera提供的功能强大的编译工具,它能够将Simulink设计文件转换为相同功能的VHDL设计文件,同时生成QuartusII工程文件以进行后续的设计工作。设计者可以选择在Simulink中采用Altera提供的集成编译环境自动完成后续的综合,时序分析及生成网表文件等操作,也可以在Simulink之外采用第三方EDA软件,如Synplify、LeonardoSpectrum等手动完成。最后,生成可以下载到FPGA中的*.pof编程文件,进行板级调试。下面用一个具体的例子来说明如何利用DSPBuilder来设计基于DDS的MSK调制解调器,并采用差分解调方式解调。设基带码元速率为1kHz,首先根据MSK各频率之间的关系确定载波频率的大小。这里取m=4,根据式(9),得到f1=2000Hz,f2=2500Hz,载波频率fc=2250Hz。设系统的采样频率为32kHz,即对每个码元采样32个点,取N=4096,根据式(5),得到当输出频率为f0时的频率控制字为256,当输出频率为f1时的频率控制字为320。按照图2所示的调制器结构在Simulink中完成仿真系统的搭建,通过仿真后对模块进行编译,这里选择了在Simulink中自动完成后续的综合,时序分析等操作。最后,将设计结果下载到FPGA芯片中,进行板级调试,完成全部设计。解调器的设计也可以参照上述步骤完成,不过需要指出的是,在设计解调系统的时候,需要注意以下2个问题:①为了实现对已调波一个码元的延时,这里使用了DSPBuilder的Delay模型,延时深度和采样速率有关。由于本系统每个码元采样32个点,因此设置延时深度为32,即可完成对已调波一个码元的延时;②滤波后需要对结果进一步延时以使取样判决脉冲对准码元的中间时刻,通过系统仿真发现,这个延时量等于前置低通滤波器的阶数的一半,本系统中滤波器的阶数为32,因此设Delay模块的延时深度为16,即可把取样时钟对准在码元中间时刻。调制器和解调器可以下载到同一片FPGA芯片中。最后,实验发现该方法可以正确的完成信号的调制和解调,且和原始信息相比,解调恢复出的数据有两个码元的延时。在信号功率为1mW时,将调制信号通过RSN=-70dB的加AWGN信道,测得其误码率为5×10e-4,可以满足实际应用的需求。4ad9854DDS技术是基于数字波形合成技术的一种高精度频率合成技术。理论上,只要采样频率足够高,存储容量足够大,任何一种波形都可以采用数字波形合成的方法来产生。它也是基于软件无线电的通信系统中的重要技术之一,广泛地用于产生各种基带数字调

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论