常用EDA工具软件操作指南_第1页
常用EDA工具软件操作指南_第2页
常用EDA工具软件操作指南_第3页
常用EDA工具软件操作指南_第4页
常用EDA工具软件操作指南_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

本文格式为Word版,下载可任意编辑——常用EDA工具软件操作指南第4章常用EDA工具软件操作指南

本章提要:本章阐述了AlteraMAX+plusⅡ,XilinxISESeries、LatticeispDesignEXPERT等常用的主流EDA工具软件的基本使用方法,包括软件的安装,原理图、VHDL等输入方式的设计操作的步骤与方法。

学习要求:在对三个软件作简单介绍的基础上,概括地陈述三个主流厂家LATTICE、ALTERA、XILINX公司的设计开发软件中的一个的使用,并要求学生通过试验和上机熟悉地把握其中一个软件的使用。对其余的两个软件至少有个基本的了解。

关键词:MAX+plusⅡ,ISESeries、ispDesignEXPERT

4.1AlteraMAX+plusⅡ操作指南

4.1.1MAX+plusⅡ10.2的安装

4.1.2MAX+plusⅡ开发系统设计入门4.2XilinxISESeries的使用

4.2.1ISE的安装

4.2.2ISE工程设计流程4.2.3VHDL设计操作指南4.2.4ISE综合使用实例

4.3LatticeispDesignEXPERT的使用

4.3.1ispDesignEXPERT的安装4.3.2原理图输入方式设计操作4.3.3VHDL输入方式设计操作

4.1AlteraMAX+plusⅡ操作指南

Alter公司的MAX+plusⅡ是一个高度集成化的可编程规律器件开发系统,可以开发Alter公司的MAX系列和FLEX系列的可编程器件。该系统的主要特点如下:

①支持多种设计输入方式。如:原理图、VHDL语言、AHDL语言、波形图等。同时还支持主流的第三方EDA工具,如:Synopsys、Cadence、ViewLogic、Synplicity、Mentor和ModelTechnology等等。

②提供完善的功能仿真,同时还提供确切的时间仿真。

③该系统把设计输入、功能仿真、时间仿真、设计编译以及器件编程集成于统一的开发环境下,从而更便利、更快捷。

④MAX+plusⅡ开发系统还具有编译快捷,设计实现优化的功能。

4.1.1MAX+plusⅡ10.2的安装

1)安装MAX+PLUSII10.2的软硬件要求

MAX+PLUSIIPC版可以在以下几种操作系统下运行:WindowsNT4.0/Windows98/Windows2000/WindowsXP。表4.1中列出了用于不同器件所需的有效内存。

表4.1MAX+PLUSII系统所需的存储器器件系列ACEX1KMAX7000MAX9000FLEX6000FLEX8000FLEX10K最小内存/MB25648643264326432256128物理RAM/MB128162)安装MAX+PLUSII10.2PC版

下面介绍MAX+PLUSIIPC版在Windows98操作系统下的安装过程。若在其他操作系统下安装,可参照此方法操作。

(1)将MAX+PLUSII10.2安装光盘放入光驱,安装窗口将自动出现图4.1所示的界面。

图4.1MAX+PLUSII10.2安装界面

你可以选择MAX+PLUSII10.2安装类型如下:Custom、BASELINE、ProgrammerOnly和ACCESSKeyGuidelines。开始安装之前,你还可以阅读Help文件、ReadMe文件、LicenseAgreement文件和ACCESSKeyGuidelines。单击任一安装选项,即开始安装。安装过程中依照屏幕上的提醒进行操作。

(2)安装好后,双击MAX+plusII图标或在“开始〞菜单内选择MAX+plusII项,开始运行MAX+plusII。第一次运行MAX+plusII时,将会出现授权协议对话框,细心阅读后,按下Yes按钮。

(3)系统若没有安装Softwareguard(软件狗),则在接受授权协议后,将出现如图4.2所示的MAX+plusII版权保护对话框,若希望查看如何获得License的信息,则单击按钮‘是(Y)’,否则单击按钮‘否(N)’。

4.2MAX+plusII版权保护对话框

(4)选择菜单命令Option/LicenseSetup,则弹出LicenseSetup对话框,如图4.3所示。单击SystemInfo按钮,弹出SystemInfo对话框,记录硬盘的驱动序列号,然后通过访问Altera公司的网站:http://.A获得授权文件license.dat。

图4.3LicenseSetup对话框

(5)收到Altera公司的授权文件license.dat后,将license.dat复制到MAX+plusII的安装目录,开启MAX+plusII,依照步骤(4)开启LicenseSetup对话框,在“LicenseFileorServerName〞对话框中填入license.dat文件的路径及文件名,单击OK按钮。至此,MAX+plusII安装完毕。

4.1.2MAX+plusⅡ开发系统设计入门

MAX+plusⅡ开发系统的工程管理器集成了开发Altera公司生产的各种器件的全部功能,主要包括工程项目管理、设计输入、功能仿真、时序仿真、设计约束等等。MAX+plusⅡ开发系统的工程管理器的用户主界面如图4.4所示。在“MAX+plusⅡ〞菜单下给出了MAX+plusⅡ软件的主要功能,各项的具体含义如下:

·HierarchyDisplay:显示当前项目层次图。·GraphicEditor:原理图编辑器。·SymbolEditor:图形符号编辑器。·TextEditor:文本编辑器。

·WaveformEditor:波形编辑器。供仿真阶段用,或用于编辑波形图输入文件。·FloorplanEditor:引脚平面编辑器。可以观测编译后器件内的资源,如规律单元、I/O单元、LAB行或列以及引脚。

·Compiler:编译窗口。·Simulator:仿真窗口。

·TimingAnalyzer:定时分析窗口。·Programmer:器件编程(下载)窗口。

·MessageProcessor:信息窗口。给出处理过程中的一些系统信息。

MAX+plusⅡ的设计过程主要由设计输入、项目编译、项目校验和器件编程四部分组成。

图4.4MAX+plusII主界面

1)设计输入

(1)建立设计输入文件

我们先以原理图文件输入方式为例进行介绍:①为本项目工程设计建立文件夹任何一项设计都是一项工程(Project),最好为此项工程单独建立一个文件夹以存放与此工程相关的所有文件。假设本项设计的文件夹取名为myproject,路径为:c:\\baseline\\myproject。

②开启MAX+plusⅡ,选择菜单命令File/New,弹出新文件对话框(New),如图4.5所示。

图4.5新文件对话框

③在新文件对话框(New)中,选择图形编辑器,单击OK按钮,弹出一个无名称的图形编辑窗口,此时界面将转变为图形编辑器菜单,如图4.6所示。在图形编辑器的左侧为绘图工具,其功能见图4.6的标注。

选择添加文本

画正交线

画对角线

画弧线画圆

放大视图

缩小视图与窗口适配连接点断接

拖动功能开启

拖动功能关闭

图形编辑窗

口绘图区域

图4.6MAX+plusII图形编辑器

④编辑图形文件

以设计一个十进制加法计数器为例,介绍编辑一个原理图文件的步骤。首先是添加元件和宏功能符号:

a.在选择工具按钮有效时,在图形编辑器的绘图区域,单击鼠标左键,将出现一个闪烁的黑点,该点为添加元件和宏功能符号的位置。

b.选择菜单命令Symbol/EnterSymbol项目,或者在确认添加元件位置双击左键,系统将弹出输入元件EnterSymbol对话框,如图4.7所示。元件库列表SymbolLibrari

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论