EDA设计方案-出租车自动计费系统_第1页
EDA设计方案-出租车自动计费系统_第2页
EDA设计方案-出租车自动计费系统_第3页
EDA设计方案-出租车自动计费系统_第4页
EDA设计方案-出租车自动计费系统_第5页
已阅读5页,还剩9页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

PAGE课程设计课程课程EDA技术课程设计题目出租车自动计费系统院系电子科学学院专业班级电子信息工程学生姓名学生学号指导教师2010年3月12日PAGE71课程设计任务书课程EDA技术课程设计题目出租车自动计费系统专业电子信息工程姓名学号主要内容、基本要求、主要参考资料等主要内容:设计一个最大量程为99.99元的出租车自动计费,计费器具有行车里程计费、等候时间计费及起价三部分功能,并用数码管显示车费的数目。基本要求:1、设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99.99元;2、行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。3、行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1.0元/公里,则脉冲当量为0.01元/脉冲。4、用LED显示行驶公里数,两个数码管显示收费金额。主要参考资料:[1]潘松著.EDA技术有用教程(其次版).北京:科学出版社,2005。[2]康华光主编.电子技术基础模拟部分。北京:高教出版社,2006。[3]阎石主编.数字电子技术基础.北京:高教出版社,2003。完成期限2010.3。12指导老师专业负责人2010年3月8日一、总体设计思想1.基本原理依据设计要求,系统的输入信号有:系统时钟信号CLK,计价开头信号START,等待信号STOP,里程脉冲信号FIN.系统的输出信号有:总费用数CHA0-CHA3,行驶距离(数)KM0—KM1和等待时间MIN0—MIN1等.2。设计框图系统组成方框图如下所示,它由外部输入模块、掌握模块和显示模块三部分组成。掌握模块是整个系统的核心,它由分频模块、掌握模块、计量模块和译码显示模块构成。时钟信号时钟信号等待信号公里脉冲计费|复位分频器控制器计费计时计程显示系统框图二、设计步骤和调试过程1、总体设计电路(1)分频模块分频模块是对系统时钟频率进行分频,分别得到16HZ,15HZ和1HZ三种频率.(2)掌握模块计价器掌握模块主要完成对计价器状态的掌握。(3)计量模块计量模块完成计价、计时和计程功能。计价部分:行程在3KM内,起步费为3元;3KM外以每公里按1元计费,等待累计时间每10MIN按0.5元计费。计时部分:计算乘客的等待累计时间。计时器的量程为59MIN,满量程后自动归零.计程部分:计算乘客所行驶的公里数。计程器的量程为99KM,满量程后自动归零。(4)译码显示模块译码显示模块完成计价、计时和计程数据显示.计费数据送入译码显示模块进行译码,最后送至以十元、元、角为单位对应的数码管上显示。计程数据送入译码显示模块进行译码,最后送至以KM为单位的数码管上显示。2、模块设计和相应模块程序LIBRARYIEEE;USEIEEE。STD_LOGIC_1164。ALL;USEIEEE.STD_LOGIC_ARITH。ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCHUZUCHEISPORT(CLK:INSTD_LOGIC;--系统时钟START:INSTD_LOGIC;—-计费开头信号STOP:INSTD_LOGIC;--行驶中,中途等待停止信号FIN:INSTD_LOGIC;—-1KM脉冲信号CHA3,CHA2,CHA1,CHA0:OUTSTD_LOGIC_VECTOR(3DOWNTO0);--计费输出信号KM1,KM0:OUTSTD_LOGIC_VECTOR(3DOWNTO0);--行驶公里数MIN1,MIN0:OUTSTD_LOGIC_VECTOR(3DOWNTO0));——等待时间输出ENDENTITYCHUZUCHE;ARCHITECTUREBEHAVEOFCHUZUCHEISSIGNALQ_1:INTEGERRANGE0TO99;SIGNALW:INTEGERRANGE0TO59;SIGNALC3,C2,C1,C0:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALK1,K0:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALM1:STD_LOGIC_VECTOR(2DOWNTO0);SIGNALM0:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALTEMP0,TEMP1:STD_LOGIC_VECTOR(3DOWNTO0);SIGNALF_1:STD_LOGIC;SIGNALEN0,EN1:STD_LOGIC;BEGINFEIPIN:PROCESS(CLK,START)BEGINIFCLK’EVENTANDCLK='1'THENIFSTART='0’THENF_1〈='0';Q_1〈=0;ELSEIFQ_1<=99THENQ_1〈=0;F_1〈=’1';ELSEQ_1<=Q_1+1;F_1<=’0';ENDIF;ENDIF;ENDIF;ENDPROCESS;PROCESS(FIN)BEGINIFFIN'EVENTANDFIN=’1'THENIFSTART='0'THENK1<=”0000”;K0<=”0000";ELSIFSTOP=’0'THENIFK0="1001"THENK0〈="0000";IFK1=”1001”THENK1〈="0000";ELSEK1<=K1+1;ENDIF;ELSEK0<=K0+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;PROCESS(F_1)BEGINIFF_1'EVENTANDF_1='1'THENIFSTART=’0'THENW<=0;M1<="000";M0〈=”0000”;ELSIFSTOP='1’THENIFW=59THENW〈=0;IFM0=”1001"THENM0〈="0000”;IFM1=”0101"THENM1<="0000";ELSEM1<=M1+1;ENDIF;ELSEM0<=M0+1;ENDIF;ELSEW〈=W+1;ENDIF;ENDIF;ENDIF;ENDPROCESS;PROCESS(CLK)BEGINIFCLK’EVENTANDCLK='1'THENIFSTART='0’THENEN0<='0’;EN1<=’0’;ELSIFSTOP=’0'THENEN1<='0';IFK1&K0>"00000011"THENEN0〈='1';ELSEEN0<='0';ENDIF;ELSIFSTOP=’1'THENEN0〈='0’;IFM1&M0>"0000010"THENEN1〈='1’;ELSEEN1<='0’;ENDIF;ENDIF;ENDIF;ENDPROCESS;PROCESS(CLK,START)VARIABLEC13,C15:INTEGER;BEGINIFSTART=’0'THENC3<=”0000";C2<=”0000";C1〈="0011”;C0〈=”0000”;C13:=0;C15:=0;TEMP0<="0000";TEMP1<=”0000";ELSIFCLK'EVENTANDCLK='1’THENIF(EN0='1')THENIF(C13<13)THENC13:=C13+1;IF(C13=1)THENTEMP0<=K0;ENDIF;IFC0="1001”THENC0〈=”0000";IFC1="1001"THENC1<="0000";IFC2="1001"THENC2<="0000”;IFC3="1001"THENC3<="0000”;ELSEC3<=C3+1;ENDIF;ELSEC2<=C2+1;ENDIF;ELSEC1<=C1+1;ENDIF;ELSEC0<=C0+1;ENDIF;ELSIF(K0/=TEMP0)THENC13:=0;ENDIF;ELSIF(EN1='1')THENIF(C15〈15)THENC15:=C15+1;IF(C15=1)THENTEMP1<=M0;ENDIF;IFC0="1001"THENC0<="0000";IFC1=”1001”THENC1<="0000";IFC2=”1001"THENC2<="0000";IFC3=”1001"THENC3<="0000";ELSEC3〈=C3+1;ENDIF;ELSEC2〈=C2+1;ENDIF;ELSEC1<=C1+1;ENDIF;ELSEC0〈=C0+1;ENDIF;ELSIF(M0/=TEMP1)THENC15:=0;ENDIF;ENDIF;ENDIF;ENDPROCESS;PROCESS(F_1)BEGINIFF_1'EVENTANDF_1='1’THENIFSTART=’0'THENMIN1<="0000";MIN0<=”0000";KM1〈=”0000";KM0<="0000";CHA3<="0000";CHA2〈=”0000”;CHA1<="0000";CHA0<="0000";ELSEMIN1〈=’0'&M1;MIN0<=M0;KM1<=K1;KM0<=K0;CHA3〈=C3;CHA2<=C2;CHA1<=C1;CHA0<=C0;ENDIF;ENDIF;ENDPROCESS;ENDBEHAVE;3、仿真及仿真结果分析仿真图1仿真图2图中显示为出租车总行驶里程为8KM,累计等待时间为3MIN,所以总费用为8.5元。4、实验调试结果为验证所设计程序是否正确,将程序下载进行硬件测试。在Quart

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论