关于奇数分频器_第1页
关于奇数分频器_第2页
关于奇数分频器_第3页
关于奇数分频器_第4页
关于奇数分频器_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

关于奇数分频器

因为偶数分频器过于简单,所以我们从奇数分频器开始说起801奇数分频器

假设我们要实现一个2N+1分频的分频器,就需要高电平占N+0.5个周期,低电平占N+0.5个周期,这样进行处理的最小时间段就变成了0.5个周期,就不能通过clk的计数直接实现了。然而,时钟信号的上升沿和下降沿之间正好相差0.5个周期,利用这个就可以实现奇数分频啦第一步:分别使用原时钟上升沿和下降沿产生两个计数器(基于上升沿计数的cnt1和基于下降沿计数的cnt2),计数器在计数到2N时,计数器归零重新从零开始计数,依次循环第二步:cnt1计数到0和N时,clk1翻转,从而得到占空比为N:2N+1的clk1;第三步:cnt2计数到0和N时,clk2翻转,从而得到占空比为N:2N+1的clk2;第四步:clk1和clk2时钟进行或操作后,即可得到输出时钟clk_out;

下面为3分频的实现

moduleDIVCLK(inputwireclk,inputwirerst_n,outputwireclk_out);

regclk1;regclk2;reg[1:0]cnt1;reg[1:0]cnt2;

always@(posedgeclkornegedgerst_n)beginif(!rst_n)begincnt1<=2'd0;clk1<=1'b0;endelseif(cnt1==2'd2)begincnt1<=2'd0;endelseif((cnt1==2'd0)||(cnt1==2'd1))beginclk1<=~clk1;cnt1=cnt1+2'd1;endelse

cnt1=cnt1+2'd1;end

always@(negedgeclkornegedgerst_n)beginif(!rst_n)begincnt2<=2'd0;clk2<=1'b0;endelseif(cnt2==2'd2)begincnt2<=2'd0;endelseif((cnt2==2'd0)||(cnt2==2'd1))beginclk2<=~clk2;cnt2=cnt2+2'd1;

end

else

cnt2=cnt2+2'd1;end

assignclk_out=clk1|clk2;

endmodule

02任意小数分频

在实际设计中,可能会需要小数分频的办法的到时钟,如在38.88M的SDH同步系统中,对应STM-1的开销的提取,需要2.048M的时钟,无法通过整数分频得到,只能用小数分频。小数分频原理如下:设输入时钟频率f0,输出频率为fx,则即m为整数部分,n为小数部分。为了实现K分频,可以对f0进行a次m分频和b次m+1分频,则有整理后得由38.88M得到2.048M的时钟,带入上式可得到

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论