基于C的数字电子钟设计_第1页
基于C的数字电子钟设计_第2页
基于C的数字电子钟设计_第3页
基于C的数字电子钟设计_第4页
基于C的数字电子钟设计_第5页
已阅读5页,还剩35页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

单片机系统课程设计目录1概述51.1研究背景51.2设计思想及基本功能62总体方案设计62.1方案选取62.1.1显示模块选择方案和论证62.1.2时钟芯片的选择方案和论证72.2总体方案设计82.3系统整体设计框图83硬件电路设计83.1电源电路设计83.2晶振电路93.3复位电路103.4时钟电路10呆3.咬4.傲1吗DS担13眨02舍芯片耕介绍插法10族3.愧4.市2候DS汉13慨02仰告在本撞设计梦中的等应用猴房14吃3.肝5尤键盘均电路另托14躺3.沸6蹄显示登电路特短15科3.诱7尽整点休报时唯电路能队18旬4骗系统卧软件街设计六枪19顽4.脑1超主程滴序软仙件设嚷计能姐19陪4.谁2嗓键盘怀子程财序设评计赴切20域4.荒3耽日历像时钟猛子程卷序设缘计捞恋22刮4.渔4张显示授子程信序设钳计右静23府4.葱5巡整点碧报时疫子程程序的是设计窗摇24殿5塞系统宝调试李厅24拜5.吧1软话件调案试然调25泡5.袄2册硬件恨调试赵挥25正6云总结梅匹27驻参考稠资料既:划转28射附录阅一:悔系统皮原理槐图孙午30寸附录惹二:见元件奇清单献茅30裳附录乱三:丹源程彻序代慌码葵炉31张1怕概述罪1.民1役研究侧背景率在日安新月职异的凭21显世纪墙里,详家用雀电子典产品唤得到诞了迅寺速发机展。观许多死家电爽设备阅都趋悄于人滑性化厕、智跟能化归,聋这些党电器园设备索大部粱分都具含有聚CP舞U控差制器寒或者如是单出片机甜。单碎片机矮以其胶高可托靠性军、高白性价度比、复低电照压、构低功鬼耗等重一系汁列优蝴点,崭近几策年得张到迅欢猛发锈展和粮大范荒围推心广,捏广泛万应用毙于工市业控狠制系投统、搏通讯蚁设备弹、日臭常消徒费类妨产品乐和玩泥具等柏。并稻且已牛经深刚入到搂工业洪生产屠的各创个环吹节以闯及人大民生碎活的存各个敬方面才,如桌车间舒流水庸线控马制、篇自动证化系丘统等田、智芳能型助家用宋电器支(冰狼箱、胞空调寇、彩绣电)训等。猴用单属片机套来控附制的蛙小型胸家电脑产品盼具有乡便携碍实用令,操验作简苦单的谜特点独。双而时谱钟,姑自从堵它发槐明的坊那天士起,活就成滚为人敢类的错朋友是,但鹿随着瓜时间丧的推脉移,墙科学输技术召的不蹦断发瘦展,坏人们蚕对时需间计该量的旦精度激要求独越来猜越高关,应霜用越厚来越昌广。梁怎样离让时定钟更色好的率为人私民服嫌务,好这就唤要求遇人们傻不断葛设计帖出新铁型时棍钟。着现今范,高笼精度茂的计膛时工暑具大绒多数果都使铸用了利石英膛晶体倾振荡居器,群由于黄电子博钟,泊石英奏表,梯石英凯钟都观采用驴了石仆英技偿术,彻因此坊走时优精度妹高,谅稳定家性好饼,使酬用方续便,魂不需趴要经姐常调掌校,概数字规式电贯子钟元用集炮成电弄路计宋时时出,译仓码代泄替机骂械式汁传动封,用佣LE帆D显舰示器秒代替粘显示贡器代绩替指脉针显尽示进冶而显芦示时桂间,稠减小丸了计误时误占差,袍这种阔表具肿有时掌,分进,秒谅显示格时间拍的功摊能,嫩还可炼以进喘行时苍和分咸的校待对,服片选久的灵姥活性弱好。龟时钟昆电路厨在计劣算机押系统锡中起呢着非迹常重思要的核作用浸,是衔保证激系统休正常蔑工作陕的基业础。厦在一敢个单烘片机割应用兆系统蛙中,执时钟浊有两弃方面妥的含产义:输一是被指为却保障寸系统乱正常暖工作弓的基配准振耍荡定羊时信螺号,纲主要航由晶巡振和扁外围幻电路弦组成帅,晶爪振频摆率的浅大小发决定纹了单以片机朵系统纯工作遵的快沙慢;撕二是帐指系招统的劲标准讲定时螺时钟盒,即云定时烦时间申,它蜓通常支有两广种实拖现方觉法:周一是絮用软魄件实踩现,锋即用南单片混机内货部的幕可编栗程定柿时/杀计数顾器来菌实现歇,但央误差计很大滥,主犬要用墙在对小时间捉精度渡要求否不高档的场义合;露二是锈用专蛛门的漆时钟留芯片环实现捐,在蛇对时寸间精竭度要秃求很败高的碌情况环下,搬通常何采用滤这种尖方法始,典盐型的旋时钟云芯片柄有:锣DS望13悦02招,D新S1听28饰87森,X关12顶03能等都博可以晃满足浆高精婚度的馆要求像。虎本文遍设计办的日贯历和妇时钟央的显表示广鞭泛用味于小展型智弟能家懒用电鹊子产陷品,足如电膝子钟采。倦利蚁用单储片机膛进行伟控制蛛,实防时时泄钟芯解片D相S1迅30舒2进亲行记欢时,怜外加捷整点梁报时午电路动和显披示电乳路,则可实半现时抵间的果调整冰和显投示。捎电子萝钟既决可广驶泛应醉用于速家庭轿,也混可应慈用于拴银行杯、邮周电、箱宾馆朋、医储院、些学校汤、企效业、妻商店浊等相腹关行摊业的陷大厅长,以讨及单如位会院议室衡、门钓卫等依场所位。因局而,袄此设维计具样有相把当重园要的羞现实渴意义合和实方用价令值。蛋1.搭2布设计宫思想哈及基绳本功哥能哨林吼本设境计在副实现赶一般爽的数钩字电防子钟纱的要旦求前爆提下心,结欣合单赔片机蝴知识邪以及燕电路萍知识例,本蛾着使普电路似工作洒稳定朴,降爽低能愈耗,罗减少鄙器件岩的使干用,剃节约徒成本待,现胁实使王用价诞值高例的原灿则而没设计器。幻本设副计具赢体可潮以完救美实绍现以谈下几树个功筐能:挖(1及)能赤准确威实时极显示玩年、较月、蚊日、置时、歉分、缝秒、久星期具。贡(2亏)可押以对朗时间念随时仿进行萄手动带校正翁。元(3誓)采巧用2芬4小道时制冷。世(4凉)使攀用L捧ED答显示赢时间政参数浑。业(猎5)夹上电予后,征电子垃钟显桥示活“剪20孝13焰年1贩2月础31母日2雄3时球59乳分5器9秒匆星铅期2住”闸。银(看6)浑当年倚、月奔、日顽、时欺、分滔、秒宏高位命为0瞧时做茫消隐茂处理卷,只盼显示紫单个惹数字捏以增著强其策可读蛇性。黑2甲总体辅方案席设计拜2.沫1嫌方案最选取油2.量1.姥1显抵示模枕块选追择方遮案和改论证贿方案炒一:束采用雁LE侨D液嚷晶显杂示屏烛,液夕晶显察示屏优的显糖示功垄能强遵大,币可显哪示大疫量文尤字,截图形功,显愁示多澡样,趁清晰铅可见奶,但厉是价岭格昂连贵,晕需要挺的接日口线蛋多,短所以饿在此巷设计溉中不属采用截LE伯D液笼晶显拔示屏鼠。奔方案毅二:车采用绳点阵衣式数削码管乘显示症,点蛛阵式版数码拘管是桶由八钓行八功列的橡发光细二极斥管组党成,痛对于沃显示咱文字暑比较咏适合狗,如杠采用赌在显吹示数工字显徐得太高浪费跑,且掉价格骂也相墨对较鸟高,溪所以拜也不希用此馆种作致为显条示。熊方案桂三:娱LE盐D数尖码管秃静态育显示竖。所竭谓静漫态显甲示,定就是截当显饿示器颠显示索某一乏字符常时,舰相应期的发爹光二侮极管箩恒定院的导杂通或曲截止阻。该介方式厨每一否位都剃需要隶一个哄8瑞位输董出口岸控制临。静躬态显敬示时狐较小瞧的电渡流能孤获得忠较高棍的亮套度,屯且字鞋符不引闪烁名。但有当所超显示霞的位禁数较旬多时盟,静底态显当示所寻需的颗I/兼O口胀太多妖,造呼成了词资源赖的浪亿费。印方案冈四:初LE叫D数选码管允动态誓显示伟。所参谓动掩态显居示就特是一悬位一猾位的慎轮流闻点亮觉各个虏位,奥对于锦显示柴器的牧每一本位来唇说,拳每隔护一段英时间采点亮槽一次拳。利辉用人瓣的视扑觉暂看留功宇能可低以看乞到整剑个显编示,爆但必厅须保辫证扫镇描速妇度足网够快耍,字允符才肿不闪附烁。炊显示腾器的蜂亮度晌既与才导通仓电流阅有关规,也阿于点破亮时刺间与认间隔滴时间吐的比胁例有锤关。捡调整东参数搭可以技实现进较高算稳定织度的杆显示该。动签态显阅示节广省了朋I/新O口励,降耗低了胁能耗顶。批本设趣计采气用L摧ED己数码补管动绕态扫猛描,龟由于省LE最D数攻码管民价格埋适中栋,对棉于显帜示数典字最罚合适膝,而贷且采冲用动早态扫备描法破与单过片机骆连接奥时,惰占用为的单颗片机荒口线围少,另所以圆本设精计采柔用方枯案四斗。孩2.蒙1.雅2时碰钟芯插片的燃选择节方案评和论返证绿方案惰一:彻直接储采用押单片罚机定垮时计盗数器胀提供堂秒信牙号,征使用绒程序崭实现袖年、榨月、逐日、迫星期学、时谊、分苏、秒僵计数阶。采尚用此捧种方皮案虽余然减但少芯让片的椅使用草,节涌约成友本,器但是止,实思现的搁时间他误差梳较大鹅。所寺以不蹲采用稠此方超案。衣方案殃二:棕采用秘DS照13勤02海时钟注芯片押实现干时钟仙,D糟S1颠30牵2芯有片是倍一种坟高性扣能的纠时钟页芯片乒,可圆自动隔对秒土、分沫、时演、日椅、周馅、月禾、年蒙以及晴闰年棒补偿奥的年委进行府计数渗,而拿且精浙度高宗,R顶AM筑做为摇数据俭暂存担区,享工作荐电压绿2.弊5V载~5泳.5休V范型围内顾,2洒.5颈V时螺耗电剃小于治30彻0n宏A.继从时戚间计廉时的是精度备以及父提高鲁单片成机工愧作效顶率角诊度考旁虑,等本设圈计采胁用方夏案二棋。疑2.笔2总上体方线案设评计级争综上告各方绪案的找论证绪与选部取,逮本设骗计的考最终锦方案燥为:家以单舟片机落AT并89获C5海1为蹄主控崖制器孟,时炼间数票据通缩过时吃钟芯披片D启S1即30蜡2来界读取陈,并隶通过超LE之D数未码管折显示暂出来刊,并锻用键旧盘来继完成室对当赏前时萝间的羡调整净。牌2.滔3秀系统疑整体置设计沾框图钱哈免结合半以上遵方案对选取皂,系镰统的筹整体吹设计哭框图拖方案晚如下胆:旺煤毒难琴寄袋朝袄考阀勒胀发测图2浪-3全系爱统整闭体设恨计框葬图盖3尺硬件强电路右设计娘3.离1校电源机电路嚼设计绒单片居机正角常工钟作电古压为挡5V悦,因喉此设跳计的振电源丑电路夫主要柜是提哲供单中片机亏工作爹电压乡。图租3-棚1是化为单讯片机旨提供跨电压糕的电迷源电仇路。方在这宪个电牛路中识采用勾了三凯端集疑成稳偿压器庭LM贫78汤05赶,可尖以输览出5尽V的榆直流钥电压厨以供丹给单画片机守,另袋外,符用一年个刀叛开关脉控制族电源烤的断况开与蜜接通涌。授朱谋青摧古策吊诸名图3孕-1芦栋电源侄电路敏图受3.骄2昼晶振烂电路厉辉邮时钟钻是单颂片机尖的心蒙脏,稀单片爷机各肥功能沉部件搬的运锐行都讽是以絮时钟精频率呈为基图准,婆有条女不紊串的一勿拍一旁拍地体工作轧。因望此,肉时钟珍频率鞠直接彼影响据单片庆机的因速度袋,时肠钟电托路的植质量亿也直义接影障响单慌片机门系统伙的稳看定性省。常排用的解时钟爹电路古有两窑种方顿式:勺一种视是内献部时缘钟方码式,蔽另一匹种为债外部站时钟欠方式票。本猴设计茄采用蜂内部颈时钟恒方式辆,因街此只榨需外勒接晶慌振源货,就后能产嗓生一务定频饰率的柔时钟沈信号萄送到径单片戏机的匠内部稍的各银个单蚕元。漆电路接中的询两个们电容长的作盼用有隔两个昏:一右是帮符助振召荡器架起振月(C婶1侧C2角的值善大,级起振艳的速纱度慢挽;反国之,说速度肚快。经);霉二是侵对振厚荡器屯的频老率起学到微演调的愈作用管(C疯1境C2蹄的值烧大,考频率告略有同减少飘,反帝之,妹频率和略有蛮提高狱)。雅本设迁计选波用1抱2M屋HZ惧的晶哭振,种C1相、C晒2的京值采盖用3伤0p脚F。警晶振枣电路较如下当图所咳示。留超坝顶坚甜厌伐街替图3皮-2隔坏单片钳机晶丢振电星路图岛3.粥3越复位烤电路稀89席C5汉1单合片机随系统秧在刚剑通电僚后,轮必须盟复位傻。复前位电密路的检主要久功能脖是使更单片找机进端行初竭始化瓶,在议初始溜化的穴过程您中需真要在侮复位留引脚密RS舌T上案加大辱于2狱个机摘器周垮期的帝高电背平,肆使单身片机觉处于的复位颜状态饿。复柳位后得的单包片机依地址苦初始美化为放00酿00扁H,跳然后记继续牺从0吼00休0H捆单元丰开始开执行丢程序键。在廉复位懒电路直中提牺供复爹位信再号,五等到染系统共电源先稳定隆后,稀再撤爪销复利位信鸣号。队但是验为了粗在复唤位按浩键稳将定的烤前提列下,壤电源好稳定邪后还斤要经牵一定心的延轿时才上撤销天复位虎信号建,以护防在攀按键迹过程闹中引富起的辩抖动壁而影片响复分位。计当单宣片机占运行吵出错涂或进做入死走循环杀时,支可使爪单片逃机复陈位后矛重新篮运行瑞。图夕3-皆3所尼示的亿RC换复位旨电路运可以层实现像上述究基本璃功能帅。琴锤汪虑桶优淹狡粒借丹圆赵者美勇借图彼3-暑3尊复腾位电瓶路图链3.雁4雀时钟戴电路诊3.彻4.干1眉DS腔13签02芒芯片馋介绍蹈乌蝴低村功耗致时钟木芯片流DS坦13赢02磁可以旺对年位、月狠、日否、时打、分盒、秒泳进行芦计时至,且症具有露闰年母补偿遣等多刊种功坟能。澡DS是13组02溉用于如数据嗓记录饰,特悦别是曲对某偶些具踢有特宁殊意版义的芬数据宏点的笑记录童上,慰能实撕现数斑据与储出现嘱该数斥据的桐时间积同时津记录功。这扫种记帜录对眉长时晚间的千连续刊测控圆系统脾结果抹的分肠析以吊及对镇异常赖数据丝出现电的原省因的苹查找烧有重松要意撤义。烫采用仰DS锋13柿02店作为途记录邀测控漠系统迹中的护数据断记录炊,其组软硬秋件设讨计简坏单,屡时间责记录内准确螺,既仰避免划了连窜续记伶录的树大工愈作量职,又沿避免氏了定岁时记糕录的咳盲目斧性,画给连同续长垮时间背的测揪量、悦控制段系统其的正桑常运舌行及该检查城都来兽了很撑大的团方便支,可诞广泛沸应用冬于长哲时间甘连续腹的测黑控系哨统中系。在苏测量斗控制老系统忍中,挽特别陵是长竞时间闭无人吓职守征的测唐控系厌统中狸,经秀常需怜要记造录某认些具为有特骡殊意挺义的浑数据萌及其骡出现程的时笼间。般记录禽及分徒析这袖些特前殊意悠义的厨数据捏,对务测控太系统石的性让能分肺析及馆正常处运行榆具有衡重要织的意腥义。踪传统兽的数介据记杨录方衔式是旱隔时致采样特或定础时采名样,货没有兵具体芝的时劳间记萍录,鸡因此搜只能逃记录件数据壶而无邻法准监确记歇录其预出现矩的时达间;摄若采消用单诸片机染计时值,一摘方面撤需要左采用片计数泡器,腐占用顾硬件臣资源馋,另宁一方穗面需肤要设拼置中联断、度查询扶等,墨同样气耗费府单片恋机的咽资源腥,而舍且某僵些测籍控系岂统可膨能不童允许摸。而功在系辅统中紧采用赞DS倍13肉02欺则能杰很好宇地解凑决这晨个问浅题。心查值DS季13伶02母的性仪能特控性如陡下:狸·隆实时兽时钟雕,可缠对秒消、分茄、时秃、日稳、周码、月树以及枣带闰蚀年补尸偿的恭年进次行计冶数;慧·尾用于敌高速响数据验暂存茫的3于1科×书8位组RA岁M;扣·侧最少内引脚钩的串窝行I株/O收;孩·洗2.乌5~行5.高5V念电俊压工部作范络围;污·坟2.脂5V固时耗轮电小跃于3剖00丈nA善;圣·产用于蒜时钟派或R债AM谦数据怪读/刺写的曲单字饼节或化多字滨节(浊脉冲溪方式脖)数辛据传绞送方夜式;挡·粮简单喷的3款线接朽口;忌·倍可选题的慢愧速充致电(原至V额CC界1缓)的溪能力殃。丘DS瓜13栏02锄时钟表芯片甲包括筒实时挪时钟绩/日猜历和背31汗字节先的静熄态R星AM辱。它盼经过狠一个关简单稀的串上行接仪口与英微处姓理器途通信塞。实叶时时丹钟/煌日历昂提供疼秒、筹分、案时、率日、阿周、怜月和砍年等劣信息夏。对姨于小千于3恰1天巩的月世和月扬末的市日期牺自动眼进行毙调整火,还肚包括倡闰年告校正完的功衣能。备时钟盆的运蜘行可蕉以采嫁用2浆4h番或带蹈AM矮(上践午)烈/P泛M(斑下午剖)的术12旅h格清式。压采用持三线闪接口污与C咬PU割进行虏同步挽通信税,并笨可采鄙用突签发方呈式一玻次传虾送多横个字俊节的撒时钟罚信号必或R签AM盘数据翻。D司S1悉30钱2有静主电界源/绢后备隶电源该双电点源引演脚:狠V心CC麻1盯在单推电源杰与电镰池供互电的渡系统脏中提颂供低膏电源铜,并看提供距低功术率的膝电池江备份书;V恨CC桂2我在双守电源危系统码中提雹供主舒电源港,在念这种泼运用赵方式矿中,链V监CC减1吐连接既到备模份电黄源,乞以便艺在没萝有主至电源截的情似况下荣能保较存时姓间信剪息以禁及数仗据。赖DS绿13岛02浓由V园CC戏1闲或V乓CC侄2垄中较飞大者盘供电镜。当宪V掌CC肿2劲大于酿V甚CC膏1斗+0凤.2馋V时筒,V扛CC俭2兔给D药S1信30县2供杀电;缘当V礼CC肥2戴小于列V农CC群1浙时,殊DS响13燕02剪由V渴CC割1程供电唐。贼DS趟13票02秋数据仍操作计原理其:D控S1俯30猜2在围任何栏数据楚传送中时必略须先应初始叼化,采把R掀ST叔脚置沉为高倾电平洽,然零后把歌8位拳地址搭和命死令字斗装入个移位项寄存尼器,诱数据娇在S丸CL潜K的匹上升修沿被象输入流。无幼论是舱读周纱期还悬是写武周期可,开飘始8帆位指摇定4得0个丢寄存校器中夺哪个辰被访唯问到倚。在暮开始象8个覆时钟揪周期崖,把按命令纹字节膀装入经移位绕寄存洁器之答后,米另外艳的时工钟周歼期在潜读操街作时速输出露数据两,在荐写操虑作时碧写入房数据贵。时格钟脉制冲的演个数盟在单剥字节归方式和下为孙8加洞8,互在多竟字节桶方式映下为野8加舰字节袄数,迁最大截可达糕24秆8字爹节数政。受图3袋-4祥-1早D团S1艺30勒2管迁脚图岔如果成在传盼送过讯程中驴置R礼ST羽为低验电平框,则迎会终猎止本常次数陵据传璃送,层并且逮I/帮O引延脚变蚊为高砖阻态脆。上控电运撤行时申,在桶V兄CC络叔>=鲜2.垒5V蛛之前妨,R架ST浙脚必燥须保秤持低寨电平两。只悔有在家SC存LK么为低速电平伞时,刷才能恩将R唯ST锐置为牧高电服平。患DS酬13失02萍的管篮脚图伸如图惧3-怖4-态1所薯示,趋表3析-4萌-2创为各垫引脚朱的功努能。蚕引脚吨号届引脚权名称刑功能滴1继V惨CC犬2笔主电喜源康2,稠3妙X1肃,X警2班振荡腔源,驴外接拼32翻76跪8H帅Z晶响振体4卵GN蛙D项地线侍5巨RS转T元复位万/片艳选线达6稻I/撑O天串行波数据折输入毫/输谨出端途(双拦向)抵7沈SC注LK私串行陷时钟让输入解端厉8洋V膏CC餐1兽后备瘦电源航表3吨-4也-2柳D刚S1未30棋2引达脚功拌能表怒DS秘13仆02题的控苍制字藏如图拍3-床4-蝶3所浅示。值控制踪字节启的最眨高有宇效位订(位疑7)定必须巨是逻催辑1弯;如炕果它否为逻态辑0申,则咳不能泼把数痒据写拣入到塌DS辉13零02寿中。色位6恼如果纪为0判,则转表示宅存取猾日历泛时钟纱数据筛;为氏1表洁示存启取R掩AM文数据朋。位遇5~乏1(请A4冶~A诊0)灶指示用操作惩单元拦的地忌址。诚最低剩有效铅位(哥位0煮)如故为0吸,表别示要默进行叛写操挽作;摸为1衡表示叶进行驴读操米作。蛛控制促字节丑总是恳从最乳低位溪开始护输入雨/输殿出。仙讯批阶图3唐-4海-3蚁控设制字鉴节的性含义笋忙饺为了来提高行对3假2个兼地址远的寻欢址能任力(周地址修/命贞令位磨1~惭5=愁逻辑聪1)萝,可枣以把柜时钟挡/日告历或对RA任M寄蒜存器芹规定门为多拔字节册(b鞠ur泪st费)方涨式。丢位6倚规定证时钟列或R挎AM予,而标位0影规定刘读或忠写。支在时牲钟/栗日历蜓寄存束器中牲的地姨址9斩~3敞1或街RA须M寄棚存器杨中的哗地址酒31理不能芬存储庄数据恩。在朋多字骆节方池式中锡,读四或写谱从地址址0旱的位项0开诊始。咳必须价按数窝据传芬送的卸次序虑写最等先的浓8个南寄存讲器。弊但是峡,当诱以多顾字节细方式淹写R句AM港时,洽为了热传送商数据扁不必借写所遇有3泄1字嫂节。徒不管陈是否共写了利全部降31治字节长,所数写的朋每一吼字节员都将锣传送正至R卫AM芳。数房据读搁写程暑序如育图3掌-4泳-4稍所示州。≈≈SCLKK≈≈RSTI/OI/O5713572102460≈46R/CA2A3A0A1R/WA41≈DATAI/OBYTEDATAI/OBYTE相图3罗-4肤-4含数据登读写胶程序眨DS外13呜02巴共有搭12捞个寄弹存器换,其姑中有校7个妙寄存右器与钟日历稀、时病钟相鼻关,粉存放倚的数予据位牢为B谊CD像码形植式,吨其日价历、优时间么寄存疼器及冠其控岗制字牲见表季3-皮4-倡5,盗其中汉奇数璃为读若操作馆,偶捆数为坊写操负作。血寄存耕器读命令押码径数据速范围崇寄存蜂器中丹各位着的内茂容摊名称冒地址奇写传读茶7薄6伯5劳4溪3喘2吴1缎0独秒范00舍H们80副H唇81蝴H观00钟~5右9级CH伤秒数底据忍分早01来H甲82溉H犹83间H内00恐~5剃9逢0包分数抬据候时屑02士H瓦84妨H拍85寨H判01短~1责2连或0捷0~利23栏12辜/销24块0肝10浴/撤AP吓时数爆据减日饰03对H庭86御H担8慰7H乖01袭~2奋8,腐29栗30构,3臂1闹0决0身日数众据东月修04屡H像88瞎H富89竹H鸽01筐~1侵2肾0金0帖0汉月数舍据星星期架05岔H扰9A摔H肯8B录H滴01暗~0躁7架0纽0员0挺0龄0仅星期浅数据炭年睡06上H益8C岁H芬8D惹H已00辨~9辰9顾年数乓据松多字躺节读获写毁BE穗H押BF楚H属—希—倒表3邀-4旅-5低片渗内时喷钟数钻据寄犬存器激3.授4.皮2狠DS膀13盾02栽获在本邪设计礼中的勒应用许印茫犯实时话时钟皂芯片钱DS堆13骡02杠采用阁串行羡数据趴传输叼,可雪为掉途电保污护电欠源提芽供可传编程涂的充苗电功耽能,眨也可教以关伯闭充紫电功暗能,圣芯片湿采用铲32坚76付8H肾z晶赤振。银要特朴别说召明的沙是,谣备用定电源冻BT盈1可逼以用化电池敬或超省级电谱容(湖10怎万绘μ堡F以然上)起。虽龙然D沿S1短30恶2在技主电悬源掉议电后元耗电靠很小信,但刃如果泰要长铅时间撑保证不时钟洗正常纠,最婆好选碎用小文型充脖电电脱池。粘如果股断电为时间数较短熟(几滔小时煌或几东天)林,可馅以用销漏电副较小尿的普里通电邮解电围容代蒙替(朱10煎0劣μ与F就诉可以名保证印1小通时的玻正常裕走时馅),蚕本设意计采垦用3狸V的妥锂电侧子电涉池。户DS就13左02蓝在第与一次行加电膝后,景需进讽行初宵始化判操作贪。初雹始化近后就掀可以竞按正宝常方掀法调爆整时兰间。饰DS拴13锣02潜的时猾钟电群路如未图3片-4厌-6赚所示殃。运图3帆-4蝶-6侨D脖S1头30桃2时骂钟电停路把3.形5栽键盘令电路祸摇蜻本设览计用皱到四超个独胸立式蹄键盘箩分别夺接到宅P1捎口的判低4族位,瞒为保伍证P谅1口敢低4鼓位在赤按键样无按季下时甜为高提电平远,特乒在P土1口洲的低优四位昌外接诉上拉铜电阻锯。键贡盘电凳路如疏图3潜-5妈所示臣。按蚊键的大开关抱状态蚂通过仪一定略的电剪路转博换为赶高、被低电自平状宁态。桶按键李闭合榆过程策在相爽应的雀I/腥O端展口形绿成一和个负恋脉冲灵。闭泄合和芹释放煌过程纽都要方经过驱一定倦的过拥程才握能达荣到稳禾定,甲这一闸过程世是处定于高害、低罩电平需之间使的一伞种不柏稳定维状态养,称牺为抖孙动。岔抖动吼持续背时间宋的常默长短株与开黄关的兄机械鸽特性垦有关胆,一物般在臭5-勒10翠ms慌之间射。为碰了避坊免C谦PU办多次由处理横按键伞的一闭次闭益合,顷应采以用措偿施消元除抖题动。庸因此返本设旗计采添用独呜立式柱按键撕,直站接用通I/膏O口毕线构咬成单马个按根键电窗路,首每个谷按键织占用愈一条哗I/敏O口但线,跪每个压按键么的工侵作状管态不丽会产值生互但相影躬响。闸音辩虑敲驰腿鹅浇侦婆厨族讯质慢浊租图3匪-5再万键盘渗电路障各按关键对艰应的伤功能闻如下纸:蠢冤(扇1)既K1躺键为奴功能漆移位但键,丰依据裕按下医的次堡数对隆应选员中调气节年展、月众、日脾、时年、分弊、秒国或者范星期丽,具赢体在透键盘勤子程粥序中着详细阁介绍求。涨造(女2)学K2费键为情数字秋“疫+丛“赏键,丘按一茄下则扭对应循的数拔字加歼1。拘打(新3)麻K3喂键为皂数字扯“末-播”积键,单按一条下则犹对应作的数内字减去1。桂滋鸭(4苗)K恩4键矛为总茎返回匪键。抵3.还6迁显示围电路浅急意显示标电路招中用盯到的峰元器宏件介钥绍:愚(1眼)数溉码管悉LE府D习瞧崇LE盗D显踩示器寿由若火干个爪发光咱二极钻管组亏成,喉当发安光二还极管捏导通同时,乔相应排的一央个笔砍画或夜一个京点就费发光抵。控搂制相酿应的哈管导太通,碑就能司显示俯出对眨应字稀符。食各段跨LE途D显由示器亮需要喂由驱狮动电胀路驱怜动。宗在七弱段L钳ED刮显示脱器中奉,通妹常将再各段担发光卧二极养管的纯阴极玉或阳韵极连积在一暑起作财为公隆共端第。将城各段柳发光煤二极冷管连铃在一肌起的遭叫共除阳极蠢显示亩器,映用低无电平铅驱动裁;将柱阴极怀连在伪一起幼的叫不共阴绢极显如示器背,用垃高电千平驱溪动。申静态壁显示孟有并姓行输般出和鸣串行覆输出腔两种刑方式伐。钞静态步显示命就是膏每一斯个显侧示器惰各笔朝画段协都要木独占要具有贱一个敏锁存寿功能金的输泊出口危线,键CP播U把篇要显切示的毅字形慨代码侨送到耗输出敌口上牛,就稼可以系使显醒示器释上显颂示所亡需的削数字个或符表号,脊此后为,即歉使C呢PU式不在陕去访蜻问它习,因开为各考笔画闷段借顿口具短有锁尊存功刻能,皂显示戒的内偷容也凤不会俩消失贺。勇数码良管动鸟态显历示是怨单片舅机中成应用布最为碍广泛鸽的一圈种显潜示方虚式之洪一,缩动态患驱动谣是将慢所有乞数码慨管的玉8个鹿显示象笔划唯"a阳、b坡、c锋、d治、e源、f悼、g球、d犁p炒"的射同名泛端连樱在一万起,碑另外避为每候个数朴码管环的公阵共极察CO证M增循加位蝶元选协通控井制电篮路,斩位元涨选通拜由各络自独首立的属I/旷O线汉控制意,当便单片盆机输返出字肥形码幻时,袖所有上数码殖管都害接收容到相熟同的控字形农码,柴但究修竟是闹那个唇数码荷管会醒显示筋出字他形,腊取决青于单球片机沟对位等元选奋通C售OM论端电忘路的蕉控制榨,所萄以我孔们只荷要将丝需要炮显示福的数葬码管慕的选讯通控摘制打棒开,挤该位雪元就佛显示伤出字工形,扶没有窑选通鹅的数最码管华就不遇会亮瞒。透北过分般时轮晶流控灾制各富个L获ED发数码堂管的政CO议M端袍,就橡使各尚个数晒码管跃轮流舌受控闸显示佩,这夺就是租动态分驱动滥。在赛轮流星显示获过程浩中,算每位湾元数韵码管斜的点巴亮时桐间为畜1~治2m交s,装由于掀人的妖视觉年暂留丹现象偏及发稻光二捷极体胀的余色辉效强应,筛尽管魄实际从上各烘位数活码管搏并非液同时挠点亮知,但秃只要荒扫描虽的速党度足翻够快狸,给滋人的案印象巩就是段一组裕稳定喊的显会示资前料,窑不会帽有闪竭烁感严,动锤态显方示的怜效果伟和静饱态显瞎示是次一样粉的,怠能够剧节省柔大量隙的I姨/O陕口,政而且荷功耗啦更低荷。铅(2业)译紧码器衡74斯LS优13谨8影74各HC迫13吃8译锄码器份是通奔过3料条线降来达寸到控蚁制8挺条线盟的状仗态,编就是诱通过展3条容控制联线A申0、往A1铅、A瓜2不忘同的董高低薄电平药组合傅来控卷制Y茅0~吼Y7犁的输无出状援态,鸽其中缴4和喂5为沃使能黑地端口,与临8引岛脚共登同接番地,沫当接狡高电朴平时奇Y0术到Y进7输杯出高逢电平呀。6箭号脚极为使堵能端伍,为刘高电经平时乘有效合。7筛4H弊C1迎38然封装矮如图题3-土6-绍1所弊示。逮当需口要级补联时夫只需仅要改秤变使怀能端吧信号咸引脚找即可档,连息接方胶法简抵单。微钉锁亏舍洪演咸盼谜嫁困豆莫煌衰而针笔贞图克3-服6-粮1来74猜LS老13毫8封传装图歌(3浩)8酸D锁群存器胶74向57良3长74巩57浇3与扇74裹37影3功惕能相江似,岛都是国8D患锁存做器,妇可驱顿动数断码管谈。其辛功能园如下师:抛·咸三态庄总线亦驱动全输出衔·侵置数爷全并涛行存锄取陡·伴缓冲矩控制遇输入傍·柏使能策输入岗有改涛善抗能扰度芹的滞魄后作斗用斯确贡原理乎:7墙4L围S5屿73妻的派八个眯锁存罢器都求是透和明的说D畜型锁欢存器辫,当洗使能良(G颠)为挖高时往,Q肌输贸出将械随数宝据(锅D)材输入寺而变胖。当粘使能低为低胡时,尊输出欲将锁番存在和已建崇立的内数据砌电平息上。针输出鲜控制经不影寸响锁牲存器杨的内彻部工今作,例即老伐数据宗可以卸保持爸,甚签至当信输出造被关核闭时链,新趣的数累据也裁可以鸭置入面。划铁领这种啊电路筑可以黄驱动换大电吸容或鞋低阻森抗负果载,范可以置直接棋与系冬统总俭线接岸口并哀驱动丈总线停,而醒不需置要外雹接口产。特贯别适恰用于贸缓冲撒寄存蓬器,鞠I/吨O膨通道样,双毯向总赤线驱闪动器翼和工毙作寄棋存器匀。7丛45有73初的引固脚图绞如下拐:饰唤爸识晃须给由搅嘴贝烫监牺氏佳泊东保举壁挠皮毒眉图3仗-6粪-2横杰74勤57正3引反脚图离显示载电路略设计烈:证本设亮计采淘用1乱6个灰共阴蒸极数俘码管挣,其紧中D剃S1盒-D锯S4慈显示茶年,达DS猪5-丸DS炊6显贫示月么,D秘S7义-D四S8皂显示板日,图DS俯9-独DS瓜10茶显示餐时,条DS井11棋-D瞒S1芹2显赞示分朵,D览S1注3-发DS段14勉显示宗秒,吴DS茄15急显示菠星期训,D瞎S1少6用息于整室点报展时时韵的闪困烁位渐。在衔显示限部分贿硬件肠电路透设计谱中用必两个趣74毅57攻3分沸别驱虫动前园8个掏数码从管和厅后8算个数掀码管勉,采你用总焰线的瞧连接绿方式颠,并腾在7耳45贝73绕与L磁ED坚之间励加上讽限流务电阻是,阻宾值为抓10俩0欧柜姆。跑两个上74援57男3的芦输入匆端均贱与P桃0口李相连翼,即顾P0窃口为棋LE碧D的失段选转端,赤用于贪向L阅ED汁输入贿数型怕码,撞并接错上拉寻电阻孙,阻奉值均煎为1猫0K虾欧姆难。毁P3悼口的爬低3举位分碰别接参两个窑74纠LS岩13泉8译您码器载的A迹、B申、C芦端,婶用P凡3.兼3、搏P3挺.4退分别夹接两稠个译鬼码器仙的使足能端延E3扑。两扎个译闪码器锡的1段6个择输出雕端分耀别接吃16厉个数柴码管楚,用真于L烟ED坐的位递选端加。纽核抄够寿袋狱芳紫限侮印古滋图3废-6艳-3兰显戏示电淘路期3.隐7树整点暮报时酷电路汪薯道整点正报时瞒电路涉由P雪NP祝型三放极管骗85角50魄、电劣阻与叉蜂鸣藏器构伐成,蜡当P积1.田7输惕出低旱电平赌时,吼蜂鸣页器就老会发昼声,彼其设猎计电奉路如嫌下图粘所示彼。刑购逮膊涌灯招挺脸尤越胜愈让隙笑挠膀隐昏融厌膨膝图慕3-作7丛整点谅报时跳电路这4陆系统户软件致设计劈陵歼软件苏的设径计是透设计形控制努系统州的应专用程层序。魄其任逗务是软在整室体设纲计和味硬件府设计飞的基求础上姨,确全定程剂序结辨构,暂分配积片内冷RA狗M资板源,赢划分建功能超模块旧,然蚂后进就行主谦程序仆和各厉模块难程序糕的设疫计,衔最后晋连接哪起来辱成为刘一个体完整余的应滤用程倡序,炕再与警硬件暴相结煤合完刷成相践应功度能。间4.怜1埋主程池序软街件设骡计馆流程敏图如应图4僵-1灿所示杀,可怜以看四到主特程序股采用拳模块霞化设胆计。广从主佣程序腹流程切图看咸出,敬主程缺序的撞组成圣是通肝过分眼别调散用各标子程厌序组输成总勇体系折统功罗能,雹能很痛直观治的看者出主拘程序财所要牺完成多的功袋能,谊首先六是初适始化和各模胆块,急之后包调用酒键盘蜻完成夹时间搜的调熄整,著调用举日历翠子程帖序完止成日支历时赛钟的腔初始尊化和学时间牺数据阵的读斜写,粗调用绕显示杏子程读序显关示数匹据,赔最后郊又转战到键屿盘程腥序来虾回循陆环。姥初始捐化部街分主铜要有烂初始仆化定唱时器搁部分若和和烧一些奶寄存渐器、勇标志睁位、旺初始杏化时凶间等在。端(1旨)对堆定时茶器T拨0初钉始化线时,纲首先钩置初香值,远CP驳U开虾中断催,定镇时器塑T0衬开中平断,绕并且豪开始挤计数锄,而马对定场时器露T1贸初始亿化时准,首棒先置应初值纯,定双时器岩T1挨关中梨断,演并且予停止穴计数依,只务有收幅到命竟令时畜才能疯产生文中断晋。鸟(2装)寄凝存器自的初据始化改主要恨是初督始化完执行慌程序俗时用箩到的晋部分仆RA耗M空闯间,疑防止吩程序毫执行烤时带沸来混寄乱。软(3桌)标摩志位海初始炭化是瓦对时塘间调毯整时赞判断柿是调柱分还榨是调最时,固以及浩整点议报时寻等而疮专设斑的位厅标志犁,初结始化叉过程涛中标翻志位冶全部猜置0毒,即抵开始散时是熟处于会显示枣状态誓,而音不是菠调整鉴状态粥或者赵整点说报时米状态谎,这巡一点尝在程辈序中筋相当摸明了凤。华(4什)初绵始化涉时间蜡是开椒机时休显示仁的时粉间,茶并通毕过调舒用日赶历时拆钟的宾写程俊序来欢完成阴时间疤的置靠初值爹。或景梳获急蛙逃蛇弦纠博苦巾拣印把见翼峰翼拖呆图扑4-四1抚主程隶序流授程图贺4.红2干键盘络子程听序设戚计今多功或能键镇盘程患序的钳设计傅是本新设计邀的难禁点,秩也是荒完成泳本设墙计的笔重点速,由脆于本终设计洪为1恐5位专显示感,所咽以对述于日豆期与拼时间锤的调渠整,临相应侮的程兔序会抬非常腿的冗扬长,氏但是乓键盘宴对年蚊月日恼时分仪秒星醋期的春调整匹思路枯、流咱程大裁体一途样,申所以糟在程炒序设菜计上程并不染是那狭么难江。季当有戒键按你下时影,调拨用1棉0m血s延改时程技序,欲再判验断是活否有泼键按鱼下无她则返字回,章若有蔽先调掏用显贵示程付序再抛判断尤是否幅松开雷,否索则再芝转到谎显示凶程序党,这辞样避富免了息在按怜键松威开之丑前能雪正常补显示酷。其雷中K桶1键唐功能寺最多老,通揪过判器断K闹1键浆按下湖的次堡数来氧判断泼是调慰时间驳还是救定时焰,并余对对篇应的呜位置吨闪烁海。本献键盘谱深度苗为7嫂级,革即连胖续按等下K艺1键止8次山时则垄返回幸到原润来界厦面。逼当判凤断键社值为款1时动则为温调秒棒状态笋,对繁应的疑秒低减位闪忧烁,在为2花时为贴调分仔状态跃并分凉闪烁园,为养3时炭为调妹时状杂态并衫时闪洲烁,令为4求时为定调日甚状态销并日觉闪烁当,为作5时挺为调锹月状拣态并典月闪恳烁,触为6怎时为庆调年欧状态刮并年待闪烁余,为霞7时唤为调公星期挠状态哈并星策期闪舅烁。竖K蔑2为苏加1崭键,和K3浮为减珍1键丸,K垃4为墨总返箭回键易,即经在调蠢时见言时不章用只岛有按僚下K哲1键音值为总8才笨返回垮,而笨通过夹按下宫K4右键即融可返写回。结由于佩键盘泼流程伪图非器常复劣杂,洲在此次只表防示秒围,如腐图4农-2详所示巡,其倚余相予仿省胆略。潜讨筑食图帅4揪-2及键首盘子过程序接流程间图垒4.下3羊日历抛时钟陡子程滔序设瓜计民DS笋13宽02艳与C啄PU餐的连将接,与是通何过S忠CL弃K、求I/介O、悠RS恋T,饰即三市线连飞接方速式。访日历美时钟屋DS临13雁02弯的读端写需断要初阅始化蹲时序北、读类时序茶、写宴时序炊等。忙所有康时序白都是湖将主债机作爱为主驾设备混,单乌总线角器件姐作为设从设姥备。自而每钥一次支命令徒和数刮据的糊传输桌都是辛从主即机启齿动写惨时序郊开始势,如晕果要慧求单惧总线纵器件狼回送患是低姐位在党先。锯读写老都是话16欲位数胀据,怒高8暂位是销地址缓,低御8位觉是数境据,但在读卖写时翅要严混格遵胀从读伏写时份序,仗否则工读写可将会蒜失效狮。毅当R膨ST捐为高幻电平蔬时,狭所有脸的数兔据传浪送被犁初始币化,猫允许通对D奋S1脉30疮2进站行操弯作。稿如果湖在传议送过辣程中冷RS棉T置随为低怒电平部,则贺会终陕止此初次数暂据传咏送,娃I/争O引厉脚变每为高益阻态封。上填电运机行时横,在芬Vc趁c机≥赢2.堡5V全之前爷,R降ST典必须临保持主低电戚平。馆只有党在S长CL豆K为坟低电泉平时赌,才疼能将绣RS倒T置届为高裙电平屯,这诱一点裕我们弯要一精定注遣意。旺当R蜘ST连置为劳高电垫平时役,在租串行雨时钟氧的S免CL禽K的感上升惰沿,剥DS末13焦02疲从I样/O背端口约读入息一位流数据掏,8毅个串张行时技钟脉梦冲就圆可以有读入善一字冈节的效数据卡。在担串行腊时钟俭的下脖降沿渗,D芝S1遇30构2向会I/母O端刑口输渣出一缎位数级据,办8个乘串行前时钟雹脉冲膏就可负以输驳出一枪字节庆的数刷据。贩日历上时钟京的读片写流慈程图洗如下杨图所习示。始镰雅图1抛时绿钟读牌扶品年奏缠议室抬在冠砖驼感图2误时镰钟写慌踩担属妙丑屈党基辣图4燕-3唉日迟历时骑钟读脖与写左流程堪图吉4.誉4熔显示蚂子程景序设同计蕉本设牌计的刻显示唯部分表具有两消隐设和闪并烁功姻能,竿当时阿分秒畏等高宴位为挖0时答显示只消隐筹,暗落但低闭位不蛾能显密示消贩隐,半因此戴对于蜡读时塔间显轧得更押加明别了。锹闪烁神功能运也是尤本设顷计的呼一个筋难点仿,本液设计华采用既8个画位标剩志位聪,其导中一页个标生志位绩通过化用定仓时器女0产虾生一苹个周朗期大减约为诊每秒庄1.走5次暖,使书闪烁盲时效添果达差到最购好。挑此时侮定时牺器采情用定形时中塘断的压工作粱方式尚,这民样可粥以充造分利乌用C突PU拔资源勤。另鞠外7向个标焦志位谢是年倚月日刮时分从秒星御期等葬的位娇标志折位,撑当标巧志位务置1条时即庙开闪槽烁,献与用牲中断急产生镰的标厉志位稳相结蓬合,腾即在高一个絮周期的内为峡0时狭消隐摸,为享1时份开显漏示,份这样屑可以轨使在映调时芹间时歉对应广的位唤闪烁循。显存示子第程序专流程恢图如妈下图钥所示茂。摩图4象-4款显姥示子棉程序故流程匙图歪4.户5桐整点他报时岁子程血序的攀设计维乌异本设荒计设千置了割四个谎整点言报时贝标志窗位,价分别难是秒户个位怕为零防、秒亭十位牙为零泊、分鉴个位按为零梯、分货十位伏为零屋等标股志位疲,只汽要当岗秒个贝位、服秒十跳位、柔分个砌位、兄分十套位为扔零,地则分宪别使您相应杯的零勿标志免位置谁1。织当四指个标搏志位然均为得1时保,则截启动技报时拦,在便此仅茫用蜂登鸣器味蜂鸣动10拍s代颤替。脱在整多点报赔时状诵态下匙,数卵码管贿DS烂16锤显示夺数字救8并始闪烁时。痕整点牧报时滔子程扁序的馆流程况图如龄下图爸所示垂。奏图4呀-5跳整释点报革时子漫程序赢流程琴图意5牵系统江调试近倡病单片矿机系谣统经亿过总队体设击计,闹完成鸽了硬捧件和环软件糠设计纤开发阀。通率过软窃件和兔硬件秀相结阳合系匹统即锻可运搭行。攀但编端制好丙的程奇序或尺连接氧好的殃线路臣不能热按预优计的好那样榜正常糊工作际是常贤见的洪事,光经常剖会出验现一舟些硬室件、剃软件娇上的繁错误情,这母是软点件和学硬件宪开发戏者经庸常遇桐见的妄,这哥就需察要通梳过调侨试来网发现辆错误淋并加匪以改返正。抚调试雾可分膨为硬醋件调唐试和进软件嘱调试膏。本柏设计膏系统秩的已让经在尚PC端机上脑用模挖拟开顺发软隐件进贤行了中检测府和调厨试,历并运齐行成雾功,铁最后狡进行纹实物砍的硬蚊件连哲接与牢调试条。泼5.舱1软挽件调采试脾本设亏计是晋在P脂ro产te犬us基软件功和W双av青a6键00小0软象件相凑结合危调试孩的,争完全龙用仿测真软匙件在托PC秘机上房对目伐标电鞋路原光理图梦和程石序进蛛行检厘测和置调试较。调雕试过狸程中俯单片料机相宽应输曲入端国由通原用键芬盘和柜鼠标嚷设定冠,运打行状法态、煎各寄谦存器抚状态铲、端挑口状耕态等毯都可至以在鼠指定挑的窗烘口区盯域显斯示出洲来,倡以确榴定程炕序运办行有幕无错撤误。寻目标鱼程序坦纠错宫:该碍阶段暂工作宗通常挨在目拐标程僵序编袍辑时贪就完减成。闹一般艳来说铜,仿颠真软滩件能躲为用冒户输必入的劈程序弃指令巴纠错差,包扯括书受写格亚式、辟标号枯未定宿义或岁多重列定义誓、转燃移地掀址溢址出等份错误利。烘整体怪程序州调试处:即冶把各柿子程积序整肚体连堡起来虏进入饶到综搬合电像路调宁试,宾看是末否能救实现均预计蜂的功假能显迫示。盗在这隶阶段鼻若发忍生故持障,战可以散考虑腹各子着程序激在运顷行时套是否崇破坏拨现场夸,数裂据缓咬冲单宿元是衣否发获生冲动突,泉标志守位的虏建立端和清前除在哄设计悄上是漠否失懂误,向堆栈塌是否继溢出汤,输镜入输昼出状赠态是真否正冈常等疲。商经过禽多次志修改哑程序欲最后惠调试活成改功,蓝实现清了预款期的越功能承要求翁,其失pr丈ot锤eu山s仿劳真图问如图逃5-叨1所畏示。丘花蛛包落心皆水使高溪失千兽戒图5传-1个伙Pr俯ot嗽eu胳s仿温真谋5.逆2凤硬件校调试忘单片数机应沿用系魂统的注硬件斥调试输与软爪件调混试是狭分不天开的执,许营多硬调件故拣障在顺软件膜调试壳时才窝能发绢现,婚但通排常要询先排裹除系瓣统中袋明显肿的硬鸡件故险障。屑关于软调试消工作孟,大赛体上栏可以纺分为渗四步休:逐步骤尸一:萄线路育检查差。根闻据硬光件电纯路原证理图毒,仔胞细检管查样愉机线脏路是张否连足接正辈确,攀并核吹对元芳器件洪的型控号、侧规格装和安泄装是锅否符零合要宁求,双必要谊时可件用万咳用表袭检测汽线路困通断宰情况扒。灰步骤由二:范电源话调试锅。样户机的蜂第一倦次通奏电测气试很柄重要卸,若赠样机涂中存睛在电静源故健障,败则加疤电后练将造傻成器谱件损宋坏。速调试灰的方胃法有判两种透:一敞种是游断开丽样机霸稳压轿电源乎的输忠出端页,检小查空间载时垄电源稼工作宿情况依;另衔一种器是拔搁下样按机上倚的主鸣要集惩成芯怎片,滚检查休电源躬的负遣载能法力(竿用假抓负载枕)。堂确保朱电源女无故狼障并籍性能伍符合义设计芦要求歉。登步骤点三:类通电读检查船。在申确保庄电源寻良好线前提惩下,束接通随电源猴。最笔好在贺电源有与其浮余电果路之免间串庆接一渴个电壶流表袍。若坛接通贺后电括流很煎大,吃必须换立即链切断形电源乱。电第源大谈得超芬出正盗常范朵围,闸说明收电路突中有它短路丝或故悬障。住通电册检查宴的主燃要目挠的是拆看系钩统是辜否存掀在短米路或纷由元至器件北损坏纪、装副配错打误引裳起的徒电流间异常纺。怀步骤钥四:酸检查错芯片毯的逻员辑关璃系是忌否出块错。到加电消后检泛查各术芯片金插座坟上相捧关引嗓脚的雨电位档,仔帜细测够量相阳应的授输入腹输出您电平筝是否慰正常斧。单侨片机股系统马大都墨是数谣字逻租辑电次路,毛使用渔电平裕检查龟法可魄首先闷查出朽逻辑致设计简是否宁正确恒,选冠用器多件和誓连接器关系畏是否膊符合顾要求削等。膜通过奇以上需四步放的硬盲件调妈试,扯最终集调试够成功级,其剃硬件眠实物失图如碧图5浆-2爹所示豆。打躺谊图5努-2贺硬宫件调践试实集物图厉6伙总结魄段细不知妖不觉陆,为联期两迹周的礼单片龙机课蚁程设扫计已认经结虑束,被在这换次设易计过校程中第收获要真的避很多科。首雾先要突谈一零下对渐这次贪设计胜全过链程的躁一个茅认识劣和感孙受:怎硬件俱系统萍关系狸到所院要设碑计的设电子倡产品袜好怀跑,如房系统送抗干母扰性嗽等,扬所以傅要合响理的企安排述尽量施减少碗干扰四提高如性能倦。单芝片机鞠是很危容易便受干庙扰的关控制辟器,怎当采与用外时部晶雕振时衔,应咳尽量丑让其前靠近锹单片来机减择少对本其干械扰,怎防止谊程序塌乱飞榨现象错。同塞时还糟可以滩采用猾隔离因等方封式减临少干雨扰,冰硬件黄系统叶设计雅的好织坏很增大部章分来香源于叠经验孙,所薪以要慨有动上手的停好习是惯。被软件乒设计凡是核袭心部夹分,秆具有补多样兔化,贿灵活熄性高程,易望移植害等优换点,昏要深闲深理渐会各移指令晒的含价义才垒能更粱加熟挎练应豆用,艺中断蛮的合借理利渡用可幅以减类少C复PU跨利用嗓资源塘,具固有执漠行效灯率高晋等优构点,裂本设朋计用聚到定赛时器凶中断毒以减封少对申CP凑U的沃占用愈,更作好的朗处理左其他经功能开。软五件的计设计导大部序分采畏用模优块化排设计阻的方诱法以避方便吵调试庄,并粒使其驱可读桑性大危大增丈强,爬方便恳更改毙和移荷植。逗块康在险软硬柴件的破设计吵过程雁中,柔通过梨查找弦各方庸面的难相关天资料阿,学样到了投很多番的知恭识,蕉比如猜学会穿了D关S1翁30贞2时饼钟芯始片的洋应用茶,学探会了幕74途57狐3、蛙74持13词8等研这些采芯片通在电晒路中冰所起骆的作业用,单尤其牛是熟挂悉并狗掌握某了p石ro替te千l、皱pr丽ot窜eu逐s和太ke音il阳等软旗件,狂深刻军的意孤识到齿它们高在单矛片机研开发负系统扔中所尝起的的作用些。本芬次设药计最筝大的雅感受顶就是起在进野行项猴目设占计中厨,一倡定要稼保持帽严谨拥的头镜脑,禾总揽泰全局碗,面撇面俱娱到,策每一牺个细协节都学应该遵深知稠熟虑烤,做议到万槐无一饼失,齐只有甘这样冈才能捞完美若的设氧计出垃一个泄具有咸实用漫的产异品。凡自己祖感觉炊本设贼计就饲在万束年历间、时报间牌拉等方基面,邮具有觉现实泽实用磁价值垒。当未然还毕有很阵多纰森漏瑕胖疵,滨相信滋在以躬后的安学习劫生涯味中,毛一定贿会逐戏步改怕善和针完善孕相关茧功能叹,所痒以还兆需要异继续娇努力血。王影云然竿而,弓本次封课程蛙设计土离不搭开臧删老师源及时氏认真潮的指俗导,精在此题特别靠向臧痰老师栗表示酷衷心溉的感泛谢。宰在硬机件设虫计与戏软件润设计巩中也定离不攀开很照多同仰学的填帮助乡,在芹此向渣周峰活和张抢栋栋注同学诉,以跪及给乡予我浓帮助纽的所拐有同搂学说特一声荐:谢赏谢!伴黑乌我脸相信慈,在莲今后估的学将习道跃路中蝶,只闯要勤听于思递考,许勇于爱探索泊,敢晴于创谊新,杂一定克会取墓得更昏大的淋进步捎。怕参考芬资料蚊:奥[1哀]躬杨跃家成肃.骄单片悬机原役理与植应用猎及C日51防程序争设计近.北余京:啄清华宁大学烟出版江社,折20抵07夫[2狸]遇夏总路易骂,石胖宗义植.P歌ro壁te翠l叨99邀se坑电路恐原理妻图与隆电路工板设私计教共程.滴北京疤:北良京希丑望电挑子出辰版社侮,2猫00遍4脸[3惹]厉邓两兴成混.单融片机烦原理宽与实治践指探导.骨北京咽:机顾械工崭业出雕版社娇,2采01矩0匹[4免]限阎兼石.蛇数字女电子坊技术队基础溜.北测京:堵高等倦教育拴出版枕社,朽20酿09专.腐[5滴]则痰张迎铜新.搂单片喝微型睁计算帮机原祖理、旬应用勺及接证口技陕术艳.北洗京:们国防感工业自出版宋社,床20盐0架7阁[6肢]尽李嗓丽荣铺,张牛常全六,郑幕建红帮.5促1单泉片机堵应用笨设计辆.北抖京:广北京晨理工谈大学近出版肃社,菊20庆07君.叠附录奉一:棚系统饶原理够图蛾附录质二:梨元件盟清单踪序号悉元件遍名称躬规格登型号子数量范1础单片窗机纲AT押89蜜C5孔1煎1个畅2胞晶振适12童MH瞒z择1个单32设.7法68材KH能z证1个赠3孝电容眉30荷pF罗瓷片拉电容绕2个吊22伙uF前电解欺电容局2个踏其他服不同庙型号韵的瓷绩片电专容贡4个迎4龟电阻思10辩千欧扒姆敲9个蛮1千日欧姆奖5个帮10悉0欧础姆挤18洒个其5皮数码仆管洗DP婚Y-昏7-蚁SE芳G-哀DP设16刮个呢6铸驱动浩器谢74冷HC驻57馆3某2个篮7无译码芝器练74强lS还13缝8祖2个鸽8米时钟爪芯片笑DS脖13喉02携1个梦9辜蜂鸣偶器嗓1个件10然三极虹管逼PN副P8商55将0幼1个库11劳按键锣四爪纷微型田轻触甩开关螺5个姨12照三端有稳压妨集成拣器膏LM喊78恳05扇1个尝附录召三:辨源程脊序代借码害ZH摔UA败N驰B竭IT酬5查0H纯左;性闪烁蒙标志楼位辅然助标拾志位胆ZH轨UA晶N1国B蚂IT叠5僻1H浴截;望年开办闪烁垄的标搞志位玩ZH棋UA刃N2赛B坏IT铃5肥2H拥庭;楼月开刊闪烁愤的标势志位功ZH漠UA勇N3洒B亲IT斗5黎3H穿训;骗日开烘闪烁肠的标走志位控ZH本UA喘N4俯B擦IT艳5迎4H未胜;欧时开洲闪烁盆的标柿志位吵ZH胸UA但N5萍B项IT紧5踢5H化浅;阅分开匀闪烁叛的标仇志位终ZH碍UA左N6错B榆IT带5膝6H逃妙;幅秒开茫闪烁亦的标帐志位将ZH耳UA跪N7下B奏IT信5详7H落粥;递星期克开闪惭烁的盆标志震位初BU青ZZ妄ER出1句BI稀T但58符H冻;筒秒个马位为临零标嫩志位性BU仍ZZ远ER登2甚BI失T颠59董H雕;阅秒十刘位为豆零标穴志位最BU正ZZ谢ER燃3无BI臂T齐5A稳H甚;添分个寇位为痛零标缓志位艺BU暂ZZ顶ER米4陪BI费T枝5B仓H症;泥分十师位为旨零标帆志位俯OR香G姑00先00外H告LJ田MP附S灰TA秃RT容尚队细碎;开榆始并搜初始剩化艰OR部G争00想0B跃H伤坛气趣跑;定脆时/并计数耻T0枝中断评LJ瓦MP鸣Z炮DU绞AN艺就屈飘窝OR坏G蹈00膀1B破H围阴紫器认;定田时/剪计数忘T1裹中断烛LJ泻MP故Z哗DU具AN点2剥ZD昂UA桂N:粘MO挡V铲TH档0,借#0脉0H刮豆;激使Z槐HU尺AN垄由正别到负闷周期改大约廉为1险.5剪即当民开闪姓烁时否每券秒大脂约闪确烁1菌.5于次环刊境疑MO杆V乳TL横0,轧#0申0H魄DJ掠NZ内R升7,待ZD生UA帜N1竟流荡;循醉环指夏令宴CP污L轧ZH必UA乳N姨这各是倾;闪诊烁标执志位栗求反贵MO家V城R7本,#流3加ZD肃UA上N1促:R招ET泳I头祸者文;中遭断返熊回散ZD恩UA厘N2版:M更OV攀T税H1弹,#预0F冠CH余MO跟V哈TL误1,难#4半3H料CP穷L叮P3攀.0络RE裙TI牧OR坐G照01趋00斥H宴ST缎AR驾T:净MO耗V肚SP在,#迎70娘H吓;进设堆讽栈诚MO直V劣TM芽OD狂,#握11货H答;行T0盟,T收I工扒作方湾式1糟MO株V激TH肝0,斯#0铲0H柏MO我V产TL碰0,脚#0歉0H慌MO思V酷TH言1,客#0童FC立H据MO瓶V恭TL查1,该#4吐3H真SE于TB易E络A每税未熟印香;侮开放醋CP箩U尚SE修TB港E霞T0未宗翠舅糊辣;境开放项T0筒中断搜SE李TB玻T见R0烫评徐导我躁;忙启动妖T0婆工作贱CL材R净ZH诸UA板N1系汗返谣;粉初始字化标址志位禁CL免R堂ZH菊UA拜N2抢CL兽R瓣ZH被UA摩N3华CL忍R伯ZH徒UA婚N4昨CL单R乒ZH沃UA货N5揭CL寄R屑ZH孙UA雪N6卫CL坊R料ZH偷UA坟N7追CL替R狡BU影ZZ嫁ER由1还CL喜R本BU量ZZ无ER颗2镇CL桐R夫BU练ZZ壤ER尤3屠CL爆R胆BU勿ZZ滤ER专4鬼MO蕉V乡R7良,#棚3悦MO宫V惜5F谁H,肆#0夸0H欲搂;丰初始吨化寄凉存器座MO梁V顶30难H,全#5研9H眼英;呢时间皂赋初胡始值摧MO逝V毅31顶H,躬#5绩9H姿MO薄V响32尝H,彩#2防3H蓄MO阿V耐33悼H,脾#3丢1H指MO凯V诚34渴H,尚#1恭2H耳MO抵V乌35案H,曲#0棚2H郊MO贴V大36谋H,爱#1厕3H麦SE淋TB响P冲1.节4无;点启动慢设置绕写保蛋护寄草存器吃MO困V驾A,闭#1跪00体01卖11喉0B变;添命令筝字节榆,0躁7H瞎寄存胜器写帆AC塔AL奔L轨WB奋YT炒E化MO篇V汤A,顷#0程0H稠疼尾袄;允义许写虏数据构字节逆AC住AL拌L印WB迁YT漫E手CL鸽R候P1者.4菜再换都;R轨ST劣=0戏,停呼止传愁送翼MO勉V汇R0弯,#拔30壁H恩;爷数据引存放篮首地成址牺MO田V占R2凤,#斥8怎毕;聚写8术个字瓣节射SE军TB绞P播1.众4懂项批;启迅动连撞续写河8个柿字节骗MO葵V广A,缩#0矿BE秒H鞠;错设多末字节鲁写命帆令字效LC春AL家L功WB因YT抚E窃CH周US赠HI永:M起OV继A妹,@剖R0穿岔;取党数据特LC东AL付L裳WB使YT蛙E撑传;写欧一个轮字节痕IN绒C训R0烘DJ良NZ斩R炮2,洗CH敬US曲HI凶商;架循环绣写8监个字成节得;主糖程序期MA泥IN慎:L舰CA被LL毙J遗IA汁N个;揪调键秋盘子曲程序例LC尸AL于L羡RI待LI智患怎友;调咐日历盐时钟团程序熊LC防AL狗L则XI浩AN锋傲答;斑调显县示程貌序龟LC幸AL涉L方BA馒OS宫HI竭枯;处调报慨时程真序档SJ摊MP俗M各AI进N霸解;键趴盘程愉序体JI吩AN傅:M缘OV葵P中1,幕#0甲FF废H思;吓初始葛MO爱V撞A,受P1钉CP饰L安A现JN资Z贺JI呆1涌今岩播满;箭判键歇按下妖LJ蔑MP驴J节IA横N4功JI卷1:丽LC叶AL绪L滤DE致LA女Y挠兰;调践延时获CP乌L催A德JN其Z面JI纲2府虎LJ矛MP收J蹲IA蚀N4凭JI惜2:娘JN善B剖P1皆.1趣,J衣IA感N3洽毕;判虾K2笔键为届0转粒JN贴B上P1呆.2默,J讽IA喜N3雕稻崖;傍判K戏3键蹄为0涌转建JI糕AN轿0:付MO霜V晃P1纸,#券0F斩FH纺JN糠B展P1代.3骄,J停IA惨N3注诞考;抖判K委4键袄为0候转若JN正B虑P1持.0彼,J炼IA粮N1追隶消;侄判K传1键钞为0色转预LJ朝MP宽J著IA芳NX古JI庙AN垫1:阁LC块AL椒L叔DE塘LA望Y或;调删延时晋去抖院动翼JB子P鸽1.酷0,垒JI蜜AN笼X善皮;为夸1就付是键典抖动粱JI馅AN珍11蹲:L纲CA滔LL比X阀IA归N贤差;调森显示迅JN邀B歼P1秆.0避,J史IA使N1库1疼忌;哀判键衫K1同松开搞MO浆V丝A,愧5F缩H蓬发灭AD叨D语A,牲#1辅眯嚼直;瞎寄存轮器加秀1沸MO颜V村5F易H,颗A怖CJ山NE遮A虏,#疲8,酸JI疲AN奸X杂LJ沿MP矮J货IA灶N3砖JI践AN粉X:暗MO喂V跳A,削5F踢H瓣CJ防NE奸A渔,#甚1吃,J箭IA俱1拢评;比塑较转恢移指错令A壶不等瘦于#妙时,羊转移逗LJ押MP究T循IA倦OM仓突;刺转调拘秒子盾程序撤JI兆A1样:M哈OV寺A防,5笔FH司CJ京NE尝A双,#鞠2,茎JI己A2佳LJ销MP桑T免IA抚OF衔伯裳;转敢调分毅子程幼序灿JI奴A2掀:M匠OV愿A柄,5拥FH佩CJ达NE阵A乐,#弃3,蕉JI给A3申LJ弦MP杆T膜IA互OS腐扯酬;转猪调时信子程磨序音JI码A3质:M柴OV比A滤,5赖FH烟CJ罩NE区A圈,#伴4,出JI武A4坊LJ弃MP袄T倡IA插OR夫童拾;转璃调日散子程睬序却JI变A4枕:M睡OV厚A河,5咽FH埋CJ艘NE财A找,#储5,为JI竿A5乒LJ事MP抄T缓IA眨OY希奶艺;转锤调月都子程漂序旺JI旷A5纵:M单OV单A炭,5托FH裁CJ挡NE丑A熔,#煎6,凭JI悼A6按LJ腰MP睡T撕IA阀ON悦道然;转浊调年骨子程雹序驻JI轿A6像:淹LJ瓜MP禽T静IA赤OX移托军;转狸调星惜期子尸程序慢JI朝AN时3:岗CL项R奖ZH于UA通N1翅盾;标蓝志位捐清0冲CL恋R捷ZH贪UA专N2涛CL录R题ZH狠UA秤N3液CL近R航ZH庸UA需N4请CL黎R怒ZH脸UA歉N5马CL蛛R每ZH号UA项N6某CL馒R愈ZH阵UA昆N7锄MO铁V短5F杨H,军#0枣0H告JI泳AN躺2:提LC漂AL蜡L者XI夹AN纵诉;调什显示申子程垮序秘MO锣V共A,察P1信矛弃梯圈莫射CP宝L循A信JN猪Z纯JI醒AN元2粉JI便AN演4:烫RE堡T请;调弯秒纠TI碧AO瓶M:章SE齿TB浆Z惑HU备AN呜6楚;秒茅闪烁绩标志迟位置肾1殃LC垒AL捧L袍XI鸡AN青JN寄B曲P1坐.1戒,M秘IA斩OZ发掘锡;加均转移脆JN始B帜P1叉.2紫,M决IA如OJ琴倚决;巧减转史移惩LJ案MP丽J屑IA螺N0海MI偷AO泽Z:污LC读AL植L社DE交LA漆Y何;臂调延勉时去乳抖动牢JN砍B剥P1坐.1抽,M止IA呀OZ避1恼LJ催MP贱J训IA贤N0辞MI服AO槽Z1出:L挡CA盛LL别X暂IA汁N购JN厉B提P1门.1鸟,M湾IA愁OZ毁1虫;计判键绳K2准松开须MO券V车A,逆30防H班炉祥顿;取问秒数彼据近AD堤D尾A,淋#1德烂叙东净;佳加1筋DA第A令钉柄恭棍托身;十免进制氧调整鸟指令求MO搏V蓄30惯H,祖A毛切涨;送钻回秒船寄存负器皆CJ肚NE页A附,#爬60剩H,婆MI授AO私Z2劲MO联V丙30倡H,棒#0忘0H炕拘;捆秒值冷过6饥0为陆0愚LJ煤MP虎M把IA架OZ硬2如MI餐AO侧J:恭LC尸AL凭L呀DE沫LA卫Y;谎调延眼时去裹抖动中JN括B石P1狠.2员,M脾IA刑OJ刚1厌LJ琴MP逮J脂IA茄N0细MI哗AO柏J1闻:L朴CA战LL僚X占IA确N愿JN询B锈P1叠.2腔,M纱IA阴OJ日1梅汽;铲判键泰K3欢松开稠MO臂V聪A,张30恨H槐缠刚爪恰;取咬秒数烫据带MO神V按B,兆#1笋0H扎DI滋V泡AB质报洋何模偷袋;弯A除肆以B倒MO退V佳61兄H,膊B拴询;雷数据圈个位踢放到嫂61铺H旦MO卷V得B,笋#1溪0腾声MU粒L好AB虚牢计版维;A惕乘以感B婆AD危D日A,主61贷H弯厅俗;不搅带进傍位加才法竞DE弓C痛A务摩咽图债;寸A减情1叫MO窃V君B,禽#1策0朗DI紫V细AB低球SW谁AP久A虑AD役D箩A,均B饼MO炕V依30破H,勿A拦CJ哲NE山A曲,#婆96矛H,苗MI劳AO掘Z2爆MO守V恶30欲H,使#5蚊9H华MI和AO纯Z2击:M针OV察A踢,3星0H乘AN茧L胜A,榜#0矮FH务黄棵;分古离低旦四位泊MO述V折40弦H,对A杰限摩;存逐数据场到寄芝存器托MO肚V商A,症30震H社标SW僵AP分A岗功拔寿述;老半字涛节交斥换需AN丛L泡A,菌#0嫁FH屯MO仪V资41成H,哭A炮胖单;存刊数据故到寄边存器裳SE角TB其P蒜1.脾4骑羡;启醉动设团置写对保护椒寄存密器碗MO陶V羊A,慨10侨00玩11膊10送B亭;宽命令凭字节彼,寄梨存器叛07锈H孕AC副AL擦L公WB统YT积E窝MO础V丽A,桃#0膀0H旧追傅;馒允许战写数奉据字汽节苍AC岁AL暑L路WB秃YT洞E吸CL箱R疗P1僵.4冻泊垮立珠;停扩止传界送垒SE某TB旷P坏1.姿4销哲奔鹅;启伯动写性MO焦V燥A,诚#8递0H嚷棵业奇;秒毙写命持令字乓节愚LC米AL既L损WB食YT街E读MO庸V脚A,余30足H限势棒;写对秒数志据到搏DS涂13以02额LC教AL抽L谅WB辅YT既E禁LC驴AL库L徐XI帖AN屠慌LJ糠MP隙J苦IA薯N0秀RE干T杰;调柜分拦TI排AO蜘F:馅CL浑R涛ZH丰UA筛N6拥辣;眯清秒暑标志灯位吐SE呢TB宣Z侨HU春AN独5姑莲躲江;岁置分圈标志含位彻LC注AL珍L胃XI茧AN胁JN说B生P1圈.1章,F迁EN岩Z肺艘障凯幸;放加转览移捉JN伪B话P1惜.2就,F叠EN桑J辽涛寒夸予瓶;减交转移芝LJ扯MP客J帖IA庆N0跑FE菌NZ弦:L类CA喝LL州D铺EL塞AY蠢;闲调延荣时去耍抖动刺JN鱼B俭P1妄.1则,F蛮EN斩Z1怎LJ敞MP朱J隐IA致N0农FE辉NZ米1:等LC蜓AL钢L笔XI侮AN历JN赤B岗P1校.1编,F浮EN苦Z1乒料数旗;号判键靠K2修松开俭MO紫V家A,纺31刮H值观颠验狐丸;取破秒数闸据极AD摸D弟A,攻#1混服迟侮狸枣穗念拢;加沉1惩DA逃A录确粮走省岛举;匪十进缠制调蜜整指及令倍MO县V禁31肝H,广A碌同头饭喜;送夏回分罩寄存糠器树CJ能NE军A着,#猴60穴H,喷FE隔NZ丸2脸敏蹄MO景V该31胖H,程#0渡0H班致录龙尤;亮值过扛60渠为0魔LJ购MP野F充EN察Z2甲FE描NJ规:L镰CA月LL欢D添EL盈AY酸;势调延分时去展抖动母JN样B竹P1五.2再,F级EN跌J1泊LJ社MP陷J夏IA掌N0动FE蜘NJ鼓1:睡LC扯AL忍L戒XI毯AN猫JN舌B串P1恩.2版,F龄EN汪J1黎她询怖;大判键讽K3侦松开斩MO记V模A,惕31嚷H泪捎速轿惠聪被;取坝秒数唯据饰MO夹V冲B,辫#1帮0H且DI财V分AB本扮蜡焰航瞒贼敏好贼;A晚除以给B仪MO待V窄62小H,棚B幅洪抬;扔数据蝇个位误放到仪62修H耐MO孙V锤B,编#1秤0宅MU烘L敢AB潮焰卖蕉弓头专;A烈乘以搞B匹AD示D节A,财62赴H奇骗车;容不带晨进位管加法讲DE圆C井A片澡义想立忆那御;A塞减1虑MO卡V变B,订#1宽0呼DI两V荷AB毙SW丢AP斯A予AD窝D蝴A,且B享MO熊V挎31很H,骑A暴CJ潮NE旱A新,#御96闷H,刑FE统NZ辉2土MO怨V者31合H,钻#5握9H我FE匙NZ湿2:弱MO谦V妥A,柄31蹄H旧AN晃L贵A,别#0喘FH伯匆;孕分离声低四惧位浅MO坐V丧42堂H,乱A永歉;存句数据净到寄坛存器指MO随V姑A,祝31干H纯SW丘AP绘A肚顿丽妙;半叨字节愉交换糖AN景L悬A,孔#0刮FH妻MO毛V殿43发H,尼A仙口;存诊数据堂到寄舟存器肃SE涝TB易P遇1.红4针;君启动素设置茄写保贞护寄广存器雀MO饥V固A,福10屋00丹11顽10硬B纳;烟命令锈字节与,寄步存器撑07笨H遮AC若

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论