电子科技大学23春“电子信息工程”《EDA技术》补考试题库附答案_第1页
电子科技大学23春“电子信息工程”《EDA技术》补考试题库附答案_第2页
电子科技大学23春“电子信息工程”《EDA技术》补考试题库附答案_第3页
电子科技大学23春“电子信息工程”《EDA技术》补考试题库附答案_第4页
电子科技大学23春“电子信息工程”《EDA技术》补考试题库附答案_第5页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

电子科技大学23春“电子信息工程”《EDA技术》补考试题库附答案第I卷一.综合考核(共15题)1.进程语句的启动条件是()。A.wait语句或敏感信号量B.wait语句C.敏感信号量D.wait语句或且敏感信号量2.在VHDL的IEEE标准库中,预定义的标准逻辑位数据STD_LOGIC有()种逻辑值。A.2B.3C.8D.93.Xilinx公司开发的开发软件为()。A.ISEB.ispDesignEXPERT系列C.QuartusⅡD.MaxplusⅡ4.假设变量初值为:a=2,b=4,则以下程序执行后,a和b的值分别为()architecturertlofexampleisbeginprocessvariablea,b:std_logic;begina:=b;b:=a;endprocess;endrtl。A.2,2B.2,4C.4,2D.4,45.下例程序执行后,X和Y的值分别为()。Process(A,B,C)variableD:std_logic;beginD:=A;XA.B+C和B+AB.B+A和B+CC.B+C和B+CD.B+A和B+A6.使用QuartusⅡ的图形编辑方式输入的电路原理图文件必须通过()才能进行仿真验证。A.编辑B.编译C.综合D.编程7.ASIC的正确含义是()。A.专用电路B.集成电路C.专用集成电路D.特定集成电路8.库(LIBRARY)包括哪几大类?()A.IEEE库、STD库、面向ASIC的库、用户定义库B.IEEE库、STD库、WORK库、用户定义库C.IEEE库、STD库、WORK库、面向ASIC的库、用户定义库D.STD库、WORK库、面向ASIC的库、用户定义库9.使用STD_LOGIG_1164使用的数据类型时()。A.可以直接调用B.必须在库和包集合中声明C.必须在实体中声明D.必须在结构体中声明10.下面对利用原理图输入设计方法进行数字电路系统设计的描述中,哪一种说法是不正确的()。A.原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法也可进行层次化设计11.下列关于变量的说法正确的是()。A.变量是一个局部量,它只能在进程和子程序中使用B.变量的赋值不是立即发生的,它需要有一个延时C.在进程的敏感信号表中,既可以使用信号,也可以使用变量D.变量赋值的一般表达式为目标变量名表达式12.MAXPLUSII中VHDL文件名必须和()名相同。A.项目、结构体B.实体、结构体C.项目、实体D.结构体13.符合1988VHDL标准的标识符是()。A.a_2_3B.a_2C.2_2_aD.2a14.下面哪一条命令是MAXPLUSII在时序仿真时执行加载节点的命令?()A.file-setprojecttocurrentfileB.assign-pin/locationchipC.node-enternodefromSNFD.file-createdefaultsymbol15.基于下面技术的PLD器件中允许编程次数最多的是()。A.FLASHB.EEPROMC.PROMD.SRAM第II卷一.综合考核(共15题)1.MAXPLUS的文本文件类型是(后缀名)是()。A.*.scfB.*.vhdC.*.gdfD.*.sof2.EDA设计流程包括()、设计输入、设计处理和器件编程四个步骤。A.设计准备B.总体设计C.详细设计D.设计数据3.过程中的信号赋值语句,其信号更新是()。A.按顺序完成B.比变量更快完成C.在进程的最后完成D.都不对4.MAX+PLUSII的设计文件不能直接保存在()。A.工程目录B.文件夹C.根目录D.U盘5.请在下例的语句中选择所需的符号____。signala,b,c:std_logic;c____a+bafter10ns;A.:=B.C.==D.=6.在VHDL的IEEE标准库中,预定义的标准逻辑位STD_LOGIC的数据类型中是用()表示的。A.小写字母和数字B.大写字母数字C.大或小写字母和数字D.全部是数字7.字符串型文字O“1234”的长度为()。A.12B.4C.8D.168.变量和信号的描述正确的是()。A.变量赋值符号是B.信号赋值符号是C.变量赋值符号是D.二者没有区别9.变量是一种局部量,变量可在以下哪些位置进行定义?()A.process、architecture、entityB.process、function、procedureC.function、entity、packageD.entity、package、procedure10.FPGA的配置是指()。A.设备外部环境B.设置工作方法C.逻辑配置及外部连接D.逻辑配置11.时间尺度定义为timescale10ns/100ps,选择正确答案()A.时间精度10nsB.时间单位100psC.时间精度100psD.时间精度不确定12.以下工具中属于FPGA/CPLD开发工具的专用综合器的是()。A.ModelSimB.LeonardoSpectrumC.ActiveHDLD.QuartusII13.进程中的信号赋值语句,其信号更新是()。A.按顺序完成B.比变量更快完成C.在进程的最后完成D.以上都不对14.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的()。A.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法一般是一种自底向上的设计方法C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计15.Altera公司开发的开发软件为()。A.FoundationB.ispDesignEXPERTC.MaxplusⅡD.ISE第III卷一.综合考核(共15题)1.如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。A.0B.1C.2D.不确定2.在VHDL中,条件信号赋值语句WHEN_ELSE属于()语句。A.并行和顺序B.顺序C.并行D.任意3.一个完整结构的结构体由哪两个基本层次组成()。A.数据说明和进程B.结构体说明和结构体功能描述C.顺序描述语句和并行执行语句D.结构体例化和结构体赋值4.一个完整的VHDL程序,至少应包括三个基本组成部分是()。A.实体、子程序、配置B.实体、结构体、配置、函数C.结构体、状态机、程序包和库D.实体、结构体、程序包和库5.如果a=1,b=0,则逻辑表达式(aANDb)OR(NOTbANDa)的值是()。A.0B.1C.2D.不确定6.在元件例化语句中,用()符号实现名称映射,将例化元件端口声明语句中的信号与PORTMAP中的信号名关联起来。A.=B.:=C.D.=>7.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库()。A.IEEE库B.VITAL库C.STD库D.WORK工作库8.关于VHDL中的数字,请找出以下数字中最大的一个()。A.21111_1110B.8276C.0170D.6EE19.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:()。A.IEEE库B.VITAL库C.STD库D.WORK工作库10.国际上生产FPGA/CPLD的三家主流公司为()。A.Altera、Xilinx、Lattice公司B.Altera、Marax、Lattice公司C.IBM、Xilinx、Lattice公司D.Altera、Xilinx、AD公司11.下列关于变量的说法正确的是()。A.变量是一个局部量,它只能在进程和子程序中使用B.变量的赋值不是立即发生的C.在进程的敏感信号表中,既可以使用信号,也可以使用变量D.变量赋值的一般表达式为目标变量名表达式12.EAB中RAM的大小可灵活配置,AlteraFLEX10K系列器件中的EAB作RAM用时,有哪几种配置模式?()A.512x8,1024x4,2048x2,4096x1B.256x8,512x4,1024x2,2048x1C.256x4,512x2,1024x1D.256x16,512x8,1024x4,2048x213.符合1987VHDL标准的标识符是()。A.2AB.A+2C.A_2D.2214.一般把EDA技术的发展分为()个阶段。A.5B.4C.3D.215.使用Quartus工具软件实现原理图设计输入,应采用()方式。A.图形编辑B.文本编辑C.符号编辑D.波形编辑第I卷参考答案一.综合考核1.参考答案:A2.参考答案:D3.参考答案:A4.参考答案:D5.参考答案:B6.参考答案:B7.参考答案:C8.参考答案:C9.参考答案:B10.参考答案:C11.参考答案:A12.参考答案:C13.参考答案:A14.参考答案:C15.参考答案:D第II卷参考答案一.综合考核1.参考答案:B2.参考答案:A3.参考答案:C4.参考答案:C5.参考答案:B6.参考答案:B7.参考答案:A8.参考答案:A9

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论