用电器电源自动控制电路的电子自动化实现_第1页
用电器电源自动控制电路的电子自动化实现_第2页
用电器电源自动控制电路的电子自动化实现_第3页
用电器电源自动控制电路的电子自动化实现_第4页
用电器电源自动控制电路的电子自动化实现_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

文档收集于互联网,已重整理排版文档收集于互联网,已重整理排版.word版本可编辑,有帮助.11:..用电器电源自动掌握电路的电子自动化实现一试验要求掌握电路能使用电器的电源自动开启30s,然后自动关闭30s,如此周而复始的工作,要有工作状态指示;接通电源;假设手动掌握接通,可由定时信号断开,然后进入自动运行状态,反之亦然0~60二 设计内容随着计算机技术的进展,计算机的应用领域也以惊人的速度拓展。计算机的高效性、敏捷性使得它在CAD(ComputerAidedDesign计算机关心设计)方面得到广泛的应用,这使得产品的开发和研制的周期大大缩小了,而这一点是格外重要的。这些因素就打算了在市场对于把握CAD学问的人才的青睐。事实也是如此,在人才市场上,大量需求具有CAD学问的人才。EDA(ElectronicDesignAutomation电子设计自动化)就包含在CAD范畴之中,利EDA技术是电子技术的进展趋势,利用EDA工具可以代替设计者完成电子系统设计中的大局部工作,EDA工具从数字系统设计的单一领域进展到今日,应用范围以射击模拟、微波等多个领域,可以实现各个领域电子系统设计的测试、设计仿真和布局布线等。我们作为设计者只要完成对电子系统的功能描EDA工具,进展设计处理,最终得到设计结果。EDAEDA的一些根本学问,培育独立思考和动手的力量,也可以增加我们的创意识。此次课程设计是一次很好的熬炼时机,通过自己认真思考,动手动脑,设计出属于自己的作品,那是一件多么令人愉悦的事情!另外一点就是,经过这次课程设计还可以对以前学过的学问进展稳固练习,继而对以后的工作和学习有所帮助。三设计思想首先分析题目要求,我从中得到下面几点内容:用电器可以自动开启30s,然后就会自动关闭30s,如此周而复始,同时要有状态的显示—〉所以这里需要用到一个30进制的计数器,且有一个输出端表示用电器的工作状态。题目要求随时都可以承受手动方式对用电器进展掌握,即:通过手动使用器由运行转换到停顿或由停顿转换到运行—〉因此必需有一个输入端用作开关来对用电器进展工作状态的掌握。定时信号的设计,且要有分秒的倒计时显示—〉首先必需得用到减法计数器,用减法计数器来做成”分”和”秒”此定时信号是用来掌握整个电路的工作时间。固然,在这其中不免会用到一些触发器、与非门、或非门、与门、或门、非门等。,那么整个电路就不成问题了。o 设计方案将整个器件设计变为三个模块,即30〔一〕30计数器由于现成的计数器只有十进制计数器7416074161,要想做一个30进制的计数器,需要用两片计数器。这里,我利用两片十进制计数器74160来构成一个30进制计数器。依据如下:把第一片74160的四位输出端接成100〔即十进制中的一片接成001〔即十进制中的CEP和ET9〔1001〕C1,下一个CP信号到达时其次片为计数工作状态,计入,而当第一片计成〔000,它的C端回到低电平;第一片的EPET130进制计数器。另外,还要有一个输出端是用来表示用电器工作状态的,我用到了一个JKFF、非门、与门。具体的规律电路如以下图所示:图形说明:3074160接成百进制计数器,然后将电路的290信号,把此0“与”的结果〔以下均称为”〕同时加到两片74160的LD端〔即LD非端,当下一个计数脉冲〔30〕00007416030进制计数器。JKFFJ=K=1AJKFFCP会0、1、0、1……这样循环,将此输出连接到某一指示灯上,假设消灭“灭”与“亮”每隔3030s,然后自动进入关闭状态。该规律图中的CP信号设为CP该模块的仿真波形图如下所示图形说明:KaiGuan0170120表示计数的高位〔即十位,始终依据、、……循环。10表示计数的低位〔即个位,始终依据0、、34、、7、8、9、0……循环。12020730s30s〔3〕在任意时刻,假设手动开关转变其状态,则用电器的状态也会翻转,并且重开头30s。符合题目要求。模块二:定时的设计题目要求有分秒的定时,且为倒计时,所以必定会用到减法计数器。74168为十进制同步加减计数器,当U/DN=05559000374168。另外,还须有T图形说明:首先,74168做减法计数,U/DN=0,要把它接地。0~59s74168,由于是倒计时,和一般的状况稍有差异,0后加到LD非端,且第一片和其次片的输入端D0~D3应分别译成1000101〔即十进制中的。还要把秒的借位输出作为分的脉60174168即可,同时把四个输出端用或门译成0加到LD非端,输入端D0~D30101〔即十进制中的5。这样,就把时间的的初始值置为0分00秒,而后马上回到5分59秒,这就开头了倒计时。0分00秒的时候自动停顿而不至于循环,所以这里需要对掌握秒的脉冲信号加上一些限制。用到了或非门、与门、非门,还有T触发器,具体连线见图中所示。该规律图中的CP信号设为CP2。该模块的仿真波形图如下所示:图形说明:〔1〕b(4~1)50;〔2〕a[8~5]5自减到0;Q[4~1]90。55900秒。符合题目要求。模块三:扫描电路的设计3个数码管,所以地址端只需S0、S14个数码管。用到一片74161,将其接成4进制;还用到四片741518选一数据选择器;另7449BCD--七段显示译码器。具体的规律电路如下页图所示:图形说明:〔1〕74161的输出端QA、QB分别作为数码管的地址端S0、S174151的地址端A、B。74151321~32;74151的四个输出端7449的输入端;7449的输出端OA~OG分别称为a~g。该规律电路的CP信号设为CP3,该 模 块 的 仿 真 波 形 图 如 下 所 示 :有图可知电路的设计是正确的。将上述三个模块组合到一起,就构成了整个的规律电路,如以下图所示:总规律电路的仿真模型为结论:

30秒就会自动转变一KaiGuan转变状态,数码管上的数字显示是:559秒~000秒,此规律电路符合题目要求,其设计是正确的。〔四〕硬件试验结果对总的规律电路进展编译下载后,在试验箱上连线,观看到如下现象:表示用电器工作状态的指示灯每隔30s就会自动“亮”或“灭也可以实现用电器状态的自动转换;数码管上的时间显示为000、559、558……000。硬件试验的结果完全符合题目要求,说明此规律电路的设计是完全正确的。〔五〕心得体会当我刚刚拿到题目的时候,感觉特别难,一点思路都没有,对此软件的把握也不是太娴熟,后来,经过自己进一步的认真琢磨、认真思考以及刻苦练习,渐渐地有了点眉目。然后我就一点一点的设计电路,最终再进展模块组合,把整个设计思路连接起来成为了一个整体的数字电路。固然在这其中也有过不少的错误,我认真查看电路找错误,通过一次又一次的不断修改与仿真,我的电路一步步趋于完善,我也正一步步走向成功,当我申请到试验箱后,下载成功了,完全符合题目的各个要求,经过教师的验收,我顺当通过了这次为期两周的EDA课程设计。经过这次课程设计,我觉察自己还有很多缺乏,对数字电路某些内容的把握不是太娴熟,在规律电路设计方面欠佳;同时,在课程设计的过程中,我也学会不少的学问,扩展了自己的学问面,培育了亲自动手实践的力量,并且也对以前已经学过的学问进展了稳固练习。我又一次深深

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论