毕业设计(论文)-基于单片机和FPGA的两相混合式步进电机控制系统设计毕业论文_第1页
毕业设计(论文)-基于单片机和FPGA的两相混合式步进电机控制系统设计毕业论文_第2页
毕业设计(论文)-基于单片机和FPGA的两相混合式步进电机控制系统设计毕业论文_第3页
毕业设计(论文)-基于单片机和FPGA的两相混合式步进电机控制系统设计毕业论文_第4页
毕业设计(论文)-基于单片机和FPGA的两相混合式步进电机控制系统设计毕业论文_第5页
已阅读5页,还剩49页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要步进电机是一种将电脉冲信号转换成相应的角位移或线位移的机电元件,具有易于开环控制、无积累误差等优点,因此广泛应用于工业、办公自动化等领域。本文以两相混合式步进电机作为研究对象,分析了步进电机的工作原理并建立了步进电机的数学模型。在控制器的选择方面,本文综合考虑了不同控制器的优缺点。由于单片机技术成熟,并且编程简单,价格低廉;FPGA性能优越,集成度高,并且无需A/D转换芯片,最终采用单片机和FPGA作为控制芯片。单片机主要实现了步进电机调速控制、转向以及细分数的设定,而FPGA主要实现了对步进电机的细分驱动。最后,本文给出了设计的最终方案。本设计结合了简单廉价的单片机和高性能的FPGA,在很大程度上提高了步进电机的低频和高频性能,有很高的实用价值。关键词步进电机FPGA单片机AbstractSteppingmotorisakindofmechanicalelectroniccomponentsdrivingelectricpulsesignalintocorrespondingangulardisplacementorlinedisplacement.Becauseofhavingtheadvantageofeasytoopen-loopcontrolandnoaccumulatederror,etc.,steppingmotoriswidelyappliedtotheindustry,officeautomation,etc.Thispaperisbasedonthetwo-phasehybridsteppingmotor,analysestheworkingprincipleofsteppingmotorandestablishesthemathematicalmodelofsteppingmotor.Inthechoiceofcontroller,thispaperconsiderstheadvantagesanddisadvantagesofdifferentcontrollersynthetically.ThetechnologyofMCUismature,theprogramiseasy,andthepriceischeap;FPGAhassuperiorperformance,highintegrationlevel,andnoA/Dtransformationchip.SofinallyIpickstheMCUandFPGAasthecontrolchip.MCUmainlyrealizesthesteppingmotorspeedregulationcontrol,steeringandsubdividingnumbersettings,whileFPGAmainlyrealizesthesubdivisiondriveofsteppingmotor.Finally,thispapergivesthefinaldesignoftheproject.ThisdesigncombinedthesimpleandinexpensiveMCUwiththehighperformanceFPGA,andimprovedthelowfrequencyandhighfrequencyperformanceofsteppingmotor.Ithashighpracticalvalue.KeywordsSteppingmotorFPGAChipmicrocomputer目录摘要 IAbstract II第1章绪论 11.1步进电机研究背景 11.2步进电机发展现状 11.3设计目的 21.4设计意义 31.5论文内容 4第2章两相混合式步进电机 42.1两相混合式步进电机简介 42.2混合式步进电机的技术指标 42.2.1静态参数 52.2.2动态参数 52.3两相混合式步进电机结构及原理 62.4两相混合式步进电机的数学模型 82.4.1电感和磁链的推导 82.4.2转矩与运动方程的建立 142.5本章小结 15第3章整体设计方案 163.1控制器的选择 163.2单片机控制电路设计 183.2.1单片机选型 183.2.2时钟电路设计 193.2.3复位电路 203.3FPGA细分驱动电路 213.3.1FPGA选型 213.3.2VHDL语言介绍 223.3.3VHDL语言设计过程 233.3.4QuartusII软件 233.3.5细分驱动电路设计 243.4本章小结 30第4章软硬件设计 314.1硬件设计 314.1.1硬件设计注意事项 314.1.2PCB板设计 314.2软件设计 344.2.1单片机部分软件结构 344.2.2FPGA部分软件结构 364.3本章小结 37结论 38致谢 39参考文献 40第1章绪论1.1步进电机研究背景步进电机是一种感应电机,它可以将电脉冲转化为角位移的执行机构。它的工作原理是利用电子电路,将直流电变成分时供电的多相时序控制电流,只有用多相时序控制电流为步进电机供电,步进电机才能正常工作。当步进驱动器接收到一个脉冲信号时,它将驱动步进电机按设定的方向转动一个固定的角度,即步进角。通过控制脉冲个数来控制角位移量,即可达到准确定位的目的,而通过控制脉冲频率来控制电机转动的速度和加速度,即可达到调速的目的。步进电机作为执行元件,是机电一体化的关键产品之一,广泛应用在各种自动化控制系统中。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。虽然步进电机已被广泛地应用,但步进电机并不能像普通的直流电机,交流电机在常规下使用。它必须由双环形脉冲信号、功率驱动电路等组成控制系统方可使用。因此想要控制好步进电机必须运用机械、电机、电子及计算机等许多专业知识。然而,传统的步进电机控制方法存在着很多缺点和不足。第一,传统的步进电机控制方法是由触发器产生控制脉冲来进行控制的,但此种控制方法工作方式单一而且难于实现人机交互,当步进电机的参数发生变化时,需要重新进行控制器的设计。而且由传统的触发器构成的控制系统具有控制电路复杂、控制精度低、生产成本高等缺点[1]。第二,步进电机在宽转速范围内运行时,存在着明显的缺点。低速运行时,转子振荡和转矩脉动明显,甚至引起失步;高速运行时,绕组电流会发生明显的畸变,影响其运行性能[2]。1.2步进电机发展现状步进电机不能直接由小幅数字信号来控制,要是步进电机能够运转,必须采用功率放大器。对于功率放大器,可控硅虽然触发简单,但关断困难,总的来看线路复杂,容易形成误触发、可靠性差、不便于调试和维护、抗干扰能力不好。近年来随着大功率晶体管的发展一般不再采用晶体管具有控制方便、调试容易、开关速度快以及元件损耗小等优点,并且由于采用先进的设计,晶体管的开关特性和耐压过流能力有了相当大的改进,因而近几年国内外绝大多数的驱动电源使用晶体三极管作为末级功放元件。近年来,由于V形槽金属氧化物半导体场效应晶体管综合了大功率双极晶体管和场效应晶体管的优点,具有大功率、高耐压、高增益的特点,且没有少数载流子存储时间和温度失控,并有显著的抑制二次击穿特性,因而使用它可大大提高驱动电源的可靠性。随着成本的降低及使用经验的积累,越来越多的驱动电源将会使用MOSFET作为末级功放元件[3]。对于驱动电路,单电压的驱动电路在二十世纪六十年代初期国外就已经大量使用,它的主要特点是线路结构简单、成本低,在绕组回路中串接电阻用以改善电路的时间常数以提高电机的高频特性。缺点是串接电阻的做法将产生大量的热功耗较大,对驱动电源的正常工作极其不利,尤其在高频工作时更加严重,因而它一般用于小功率或启动和运行频率要求不高的场合。[4]高低压驱动电路在六十年代末出现,是随着对步进电机要求大功率驱动和高频工作而出现的,这种电路主要是加大绕组电流的注入量以提高出力,而不是通过改善电路的时间常数来使矩频性能得以提高。但由于电机旋转反电势相间互感等因素的影响,易使电流波形在高压工作结束和低压工作开始的衔接处呈凹形,从而致使电机的输出力矩有所下降。为了弥补高低压驱动电路的高、低压电流波形在连接处为凹形的缺陷,提高输出转矩,七十年代中期研制出斩波电路。这种电路能够使整个系统的功耗非常小,电源效率较高,因而恒流斩波电路应用相当广泛。细分驱动电路在七十年代中期由美国学者首次提出,它是建立在步进电机的各相绕组理想对称和距角特性严格正旋的基础上的。它通过控制电动机各相绕组中电流的大小和比例,使步距角减小到原来的几分之一至几十分之一。实际上,加工误差致使细分后的步距角精度并不高。但是,细分驱动能极大地改善步进电机运行的平稳性,提高匀速性,减轻甚至消除振荡。近几年来,由于微处理机技术的发展,细分电路获得了广泛应用。1.3设计目的为了克服传统控制器的缺点,满足工业生产新的控制要求,在此需要采用一种以单片机为核心的新型控制器。步进电机是数字控制电机,它将脉冲信号转变成角位移,即给一个脉冲信号,步进电机就转动一个角度,其最大特点就是通过输入脉冲信号来进行控制,电机总转动角度由输入脉冲数决定,电机的转速由脉冲信号频率决定,因此适合于单片机控制,单片机通过向步进电机驱动电路发送控制信号就能实现对步进电机的控制。为了克服步进电机在宽转速范围内运行的缺点。通过对步进电机多细分技术和单相全桥电路斩波方式的应用,基于FPGA的多细分宽调速步进电机控制系统可以有效地改善步进电机低速的振荡和高速的电流畸变。采用FPGA技术对步进电机的控制具有很大优势,用户可利用FPGA软件绘制出逻辑原理图或用硬件描述语言等方式作为设计输入,然后验证进行模拟仿真,最后将设计好并由软件生成的烧写文件下载到配置设备中去进行在线调试。如果调试的结果与设计要求不一致,可以在软件中更改直到结果与要求一致,而不必改动外接硬件电路,使设计易于调试用FPGA进行分层模块设计使系统设计变得更加简单。在实时性和灵活性等性能上都有很大的提高,有利于步进电机的运动控制。1.4设计意义步进电机之所以可以广泛应用于工业、办公自动化等领域,是因为它具有以下特点:1.一般步进电机的精度为步进角的3-5%,且不累积。2.步进电机必须加驱动才可以运转,驱动信号必须为脉冲信号,没有脉冲的时候,步进电机静止,如果加入适当的脉冲信号,就会以一定的角度转动,这个角称为步角。转动的速度和脉冲的频率成正比。3.步进电机温度过高首先会使电机的磁性材料退磁,从而导致力矩下降乃至于失步,因此电机外表允许的最高温度应取决于不同电机磁性材料的退磁点;一般来讲,磁性材料的退磁点都在摄氏130度以上,有的甚至高达摄氏200度以上,所以步进电机外表温度在摄氏80-90度完全正常。4.步进电机具有瞬间启动和急速停止的优越特性。5.步进电机的力矩会随转速的升高而下降。当步进电机转动时,电机各相绕组的电感将形成一个反向电动势;频率越高,反向电动势越大。在它的作用下,电机的相电流随频率(或速度)的增大而减小,从而导致力矩下降。6.步进电机低速时可以正常运转,但若高于一定速度就无法启动,并伴有啸叫声。步进电动机以其显著的特点,在数字化制造时代发挥着重大的用途。伴随着不同的数字化技术的发展以及步进电机本身技术的提高,不断地发挥步进电机的优点,克服其缺点,将会使步进电机在更多的领域得到应用。基于单片机和FPGA的步进电机控制系统的设计能够充分地利用步进电机的优势,克服传统控制方法的缺点。1.5论文内容本文以两相混合式步进电机为控制和驱动对象,采用细分驱动技术,基于单片机和FPGA设计了高性能的步进电机驱动系统,使混合式步进电机的低频性能和高频性能都得到了改善。本文的主要内容介绍如下:第1章作为绪论,主要叙述了本设计的背景,步进电机的使用现状,本系统设计的目的所在和设计意义,以及论文的主要内容;第2章对两相混合式步进电机进行介绍,并以此建立其数学模型;第3章通过分析研究,给出了系统的具体设计方案;第4章根据最终设计方案提出了系统软硬件的设计与实现;最后为本文的结论。第2章两相混合式步进电机2.1两相混合式步进电机简介步进电机分三种:永磁式(PM),反应式(VR)和混合式(HB)。永磁式步进电机一般为两相,转矩和体积较小,步进角一般为7.5度或1.5度;反应式步进电机一般为三相,可实现大转矩输出,步进角一般为1.5度,但噪声和振动都很大,在欧美等发达国家80年代已被淘汰;混合式步进电机混合了永磁式和反应式的优点,它又分为两相、三相和五相[5]。两相步进角一般为1.8度,混合式步进电机随着相数(通电绕组数)的增加,步进角减小,精度提高,这种步进电机的应用最为广泛。2.2混合式步进电机的技术指标混合式步进电机的技术指标分为静态参数和动态参数,以下为各种静态参数和动态参数的详细介绍。2.2.1静态参数1.相数步进电机的绕组线圈的组数。目前较为常见的有两相、三相、四相步进电机。相数不同,运行时的步距角也不相同。实际应用中应该根据不同的需求来选择不同相数的步进电机。2.步距角控制系统向驱动电路发出一个驱动脉冲信号,步进电机运行的角度。3.拍数完成一个周期性磁场变化所需要的励磁脉冲数,或步进电机转过一个齿距所需要的励磁脉冲数。4.定位转矩步进电机在不通电的情况下,转子本身所具备的锁定力矩。5.保持转矩步进电机在已经通电但没有转动的情况下,定子锁住转子所需要的转矩保持转矩是步进电机的重要参数之一,步进电机在低速运行时的输出转矩接近保持转矩。2.2.2动态参数1.步距角精度步进电机运行时的步距角的实际值与理论值的误差,,用百分比可以表示为:误差/步距角×100%。2.失步步进电机运行时的实际步数与理论步数不相等,这种现象称为失步。3.失调角转子的齿轴线与定子的齿轴线之间的偏移角度。失调角在步进电机的运行过程中是不可避免的。4.共振点步进电机都有一个固定的共振区间,一般在50~80r/min之间。步进电机在运行时应该避开共振区间[6]。2.3两相混合式步进电机结构及原理工业控制中采用定子磁极上带有小齿,转子齿数很多的结构,其步距角可以做得很小。如图2-1是一种典型的两相混合式步进电机的三维结构图,而图2-2是两相混合式步进电机的绕组接线图,A、B两相绕组沿径向分相,沿着定子圆周有8个凸出的磁极,1、3、5、7磁极属于A相绕组,2、4、6、8磁极属于B相绕组,定子每个极面上有多个齿,极身上有控制绕组。转子由环形磁钢和两段铁芯组成,环形磁钢在转子中部,轴向充磁,两段铁芯分别装在磁钢的两端,使得转子轴向分为两个磁极。在转子铁芯上均匀分布着若干个齿,两段铁芯上的小齿相互错开半个齿距,定转子的齿距和齿宽相同。图2-1两相混合式步进电机三维结构图图2-2两相混合式步进电机绕组接线图相数与极数不同的混合式步进电机在工作原理上是相同的。为了分析与研究方便,下面以四极两相混合式步进电机为例来讨论混合式步进电机的工作过程,即分析混合式步进电机转子如何从一个稳定位置转到另外一个稳定位置。混合式步进电机相绕组上的线圈的绕向与其他两种类型的步进电机不同,例如两相四极混合步进电机的一个相绕组上相对的两个极上的绕线方向是相反的,这种绕线方法保证了同相相对的两极的极性相同。混合式步进电机的电磁转矩只有在转子磁势和定子磁势的共同作用的条件下才能产生。当给步进电机的A相绕组通电时,会产生以AA’为轴线的磁场,在此状态下,A相的磁极极性为S而a段转子铁芯极性为N,根据异极性下磁导最大的原理,转子处于图2-3所示的平衡位置。根据图2-3可以看出在只给A相通电的情况下,A相磁极与a段转子的齿完全重合,而与b段转子齿错开1/2个齿距。同理可以知道仅给B相通电时的转子平衡位置[7]。2.4两相混合式步进电机的数学模型2.4.1电感和磁链的推导图2-4所示为一台两相四线制步进电机的等效电路图。它有4条励磁信号线A+,A-,B+,B-,通过这4条励磁信号线给步进电机发出一定顺序的驱动脉冲电流信号就可以使步进电机运行起来。每给一个驱动脉冲信号,步进电机就运行一个步距角,各相绕组的通电状态循环改变一次后,步进电机就运行一个齿距。以两相混合式步进电机作为研究对象,所分析电机的定子具有四对极,其磁路的简化模型如图2-4所示。混合式步进电机的气隙磁动势分为两部分:一部分是由转子永磁体产生的磁动势;另一部分是由定子绕组产生的磁动势。为了推导的方便,此模型忽略了定子各个极之间的漏磁以及转子永磁体上的漏磁,这种方法不会对电机的分析产生结论性的影响。在电机定子的八个极中,沿圆心对称的两个极是同一相的,它们的通电状态是完全相同的。因此,我们可以将这八个极看成是四对极。如图2-5所示,Fa,Fb,Fc,Fd分别为定子绕组四对极上的磁势,它们的大小由绕组的电流大小、绕组线圈的匝数决定,它们的方向由绕组线圈中的电流的方向决定;Ʌa1,Ʌb1,Ʌc1,Ʌd1分别为转子(I)段铁心上对应的各对极的齿层磁导,Ʌa2,Ʌb2,Ʌc2,Ʌd2分别为转子(II)段铁心上对应的各对极的齿层磁导。Ʌm为转子永磁体的磁导,Fm为转子永磁体的磁势[8]。以a1极的齿中心线作为转子位置角的参考点,转子所在的位置用转子的齿中心线到参考点的夹角来表示。为了计算方便,忽略磁导函数的2次及以上次数的谐波分量,经过线性分析后可以得出(I)段铁心各个极的齿层磁导[9]为:(2-1)再将(I)段铁心的位置移动1/2个齿距角便可以得到(II)段铁心的位置,经过线性分析后可以得出(II)段铁心各个极的齿层磁导为:图2-3转子偏离平衡位置时,定转子相对位置和作用转矩图(A相通电)图2-4步进电机的等效电路图图2-5两相混合式步进电机的简化磁网络模型(2-2)式中:Ʌ0为两个极的齿层磁导的平均分量;Ʌ1为两个极的齿层磁导的基波分量。当定子绕组线圈中的电流为零时,定子绕组没有励磁作用,定子绕组各对极的磁势Fa,Fb,Fc,Fd都为零,此时只有转子的永磁体作为电机磁路的励磁源励磁,可以求得永磁体的磁通为:(2-3)令km=Ʌm/(2Ʌ0+Ʌm),则Φm0=2Ʌ0kmFm,km表示外磁路的磁压降系数。当转子永磁体的磁阻为零时,即当Ʌm→∞,km→0时,永磁体的磁势Fm相当于全部加在外部磁路上;实际上km小于1,外部磁路的磁压降为kmFm。外部磁路的磁导为2Ʌ0,定子铁心4条支路的磁导为4Ʌ0,定子铁心4条支路的磁压降为kmFm。因此,定子铁心4条支路各自的磁通分别为:(2-4)用表示定子铁心上每个极的绕组线圈的匝数,根据绕组线圈的绕向及连接方式,可以求得A、B两相绕组的总磁链为:(2-5)如果将转子永磁体等效成一个励磁绕组,令其线圈的匝数为Nm,则励磁电流为Im=Fm/Nm,可以求得定转子的互感为:(2-6)当定子绕组只有一相通电时,例如iA=I,iB=0,可以计算出A相通电绕组的自感LAA及对B相绕组的互感LBA。当iA=I,iB=0时,各相绕组的磁势为:(2-7)在定子绕组的磁势作用下,可以求得当A相绕组通电时转子永磁体的磁通为:(2-9)用F表示定子磁通在转子永磁体支路上产生的磁压降,则:(2-10)令,则:(2-11)可以求得A相绕组的通电电流在各支路产生的磁通为:当i=a,c时,(2-12)当i=b,d时,(2-13)由式(2-12)、(2-13)可得:A相绕组的自磁链为:(2-14)A相绕组对B相绕组的互磁链为:(2-15)A相绕组的自感为:(2-16)A相绕组对B相绕组的互感为:(2-17)B相绕组的自感为:(2-18)B相绕组对A相绕组的互感为:(2-19)令,,则由式(2-16)、(2-18)、(2-19)可得自感及互感的简化公式为:(2-20)2.4.2转矩与运动方程的建立首先建立步进电机的转矩方程。根据混合式步进电机的气隙磁动势由两部分组成,可以得出混合式步进电机的电磁转矩也是由两部分组成。分别是定子绕组通电后的磁动势所产生的感应转矩Ts;永磁体磁动势Fm和定子绕组电流I所产生的磁动势共同作用而产生的永磁转矩Tm。当定子绕组有一相通电时,步进电机的磁能为:(2-21)式中L为电机相绕组自感;I为通入绕组中的电流。设p为电机转子齿数:LAA、LBB、LAB为电机相绕组自感和互感。于是得到反应转矩Ts为:(2-22)如果将永磁体建立的磁场等效成转子电流Im励磁所产生的磁场,可得:(2-23)上式中:Im为永磁体的等效励磁电流,MAm,MBm分别为定子的A、B相绕组与永磁体等效磁场的互感。由于Te=Ts+Tm,根据式(2-20)、(2-22)和(2-23),可得到步进电机的电磁转矩Te为:(2-23)下面开始建立步进电机的运动方程。在不考虑定子的极间漏磁和永磁体回路漏磁、忽略磁滞和饱和影响时,步进电机的电压方程可以表示为:(2-24)式中:UA、UB为A、B两相定子绕组的端电压;rA、rB为A、B两相定子绕组的内阻;ωr为转子的机械角度;ke为反电动势常数。而两相混合式步进电机的运动方程为:(2-25)式中:J为转动惯量;B为粘滞摩擦系数;TL为负载转矩。得到了步进电机的数学模型,就可以通过控制电机输入信号来达到控制电机的目的。2.5本章小结本章首先简单介绍了混合式步进电机的基本情况,然后分析混合式步进电机的基本结构以及工作原理,以此对混合式步进电机进行了数学模型的推导,其中包括电感和磁链的推导以及转矩和运动方程的推导。第3章整体设计方案一般来说,步进电机驱动系统可划分为三大部分,如图3-1所示。控制器控制器功率驱动步进电机图3-1步进电机驱动系统的基本框图控制器和功率驱动电路的结合有多种,不同的组合决定了步进电机驱动系统的多种实现方案。其中控制器有多种不同的选择,例如GAL、单片机、ASIC、DSP、CPLD、FPGA等。驱动器件可以是由分立器件组成的,也可以是一体化集成式的驱动芯片[10]。3.1控制器的选择GAL(GenericArrayLogic,通用阵列逻辑)是早期的可编程逻辑器件,它在功能和输出结构上具有较大通用性。GAL器件是从PAL发现过来的,其采用了EECMOS工艺使得该器件的编程非常方便,另外由于其输出采用了逻辑宏单元结构,使得电路的逻辑设计更加灵活。在步进电机控制应用场合,步进电机一般是整步运行或半步运行。[11]MCU(MicrocontrollerUnit,单片机)是典型的嵌入式微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。从现今的实际应用情况来看,步进电机驱动器中比较流行的控制器是单片机。最简单的步进电机驱动器大多是由单片机和分立元件组成[12],系统集成度低,抗干扰能力差,步距角分辨率不高,导致步进电机定位精度不高。受单片机计算速度和计算精度的限制,当采用细分驱动时,细分数不能达到很高。尤其是当单片机任务繁重时,步进电机极有可能发生失步或堵转现象[13]。DSP(DigitalSignalProcessor,数字信号处理器)是一种独特的微处理器,是以数字信号来处理大量信息的器件。它不仅具有可编程性,而且其实时运行速度可达每秒数以千万条复杂指令程序,远远超过通用微处理器,是数字化电子世界中日益重要的电脑芯片。它的强大数据处理能力和高运行速度,是最值得称道的两大特色。利用DSP对步进电机进行细分驱动控制取得了良好的效果。但是DSP内部一般没有大量的存储器,当处理运算需要存储大量的数据时,只能外接存储器,这样往往会使电路也会更复杂和不稳定。ASIC(ApplicationSpecificIntegratedCircuit,专用集成电路)是采用半导体制作工艺,在一块较小的单晶硅片上制作上许多晶体管及电阻器、电容器等元器件,并按照多层布线或遂道布线的方法将元器件组合成完整的电子电路。这些芯片只需配合一些简单的外围电路即可构成体积小、性能稳定、低噪声的细分驱动器,使用十分方便。但是一些芯片的细分数是固定的或者是细分数等级比较少,在使用时会受到一定的限制。而且目前由于集成芯片受耐压、电流容量的限制,这些IC一般只能用于小功率步进电机的驱动。CPLD(ComplexProgrammableLogicDevice,复杂可编程逻辑器件)是从PAL和GAL器件发展出来的器件,相对而言规模大,结构复杂,属于大规模集成电路范围。是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。利用CPLD可容易地控制步进电机在小细分数目时的运行。[14]但是如果细分数目比较大,实际实现时由于受限于CPLD内部资源数量而需要在其外部扩展EEPROM用来存储参考电流波形数据值,这样会导致电路板的面积有所增大,电路复杂度也相应提高。FPGA(FieldProgrammableGateArray,现场可编程门阵列)是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA具有以下优点:FPGA速度快,内置有高速乘法器和加法器;高档的FPGA中有大容量的高速存储器,不用外接存储器便可实现一些实时处理运算,其速度更快,电路也更简单;FPGA是硬件可编程的器件,使用时比DSP更加灵活;FPGA内部电路模块是并行工作的,利用FPGA可以同时对多台步进电机进行控制,这一点是单片机和DSP难以做到的。综上所述,综合了各控制器的优缺点,本论文基于单片机和FPGA来设计步进电机的控制系统。由于单片机具有价格低廉,性能优越,设计简单等优点,所以本文采用单片机负责总的进程和节奏管理;又鉴于FPGA速度快、电路简单、集成度高、使用灵活等优点,所以本文采用FPGA实现对信号的连接和实时处理,主要是细分驱动电路的设计[15]。3.2单片机控制电路设计3.2.1单片机选型STC89C52RC单片机是宏晶科技推出的新一代高速、低功耗、超强抗干扰的单片机,指令代码完全兼容传统8051单片机,12时钟/机器周期和6时钟/机器周期可以任意选择[16]。STC89C52RC的性能可以满足本设计要求,其主要特性如下:•增强型8051单片机,6时钟/机器周期和12时钟/机器周期可以任意选择,指令代码完全兼容传统8051;•工作电压:5.5V~3.3V(5V单片机)/3.8V~2.0V(3V单片机);•工作频率范围:0~40MHz,相当于普通8051的0~80MHz,实际工作频率可达48MHz;•用户应用程序空间为8K字节;•片上集成512字节RAM;•通用I/O口(32个),复位后为:P1/P2/P3/P4是准双向口/弱上拉,P0口是漏极开路输出,作为总线扩展用时,不用加上拉电阻,作为I/O口用时,需加上拉电阻;•ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器,无需专用仿真器,可通过串口(RxD/P3.0,TxD/P3.1)直接下载用户程序,数秒即可完成一片;•具有EEPROM功能;•具有看门狗功能;•共3个16位定时器/计数器。即定时器T0、T1、T2;•外部中断4路,下降沿中断或低电平触发电路,PowerDown模式可由外部中断低电平触发中断方式唤醒;•通用异步串行口(UART),还可用定时器软件实现多个UART;•工作温度范围:-40~+85℃(工业级)/0~75℃(商业级);•PDIP封装。STC89C52RC单片机的工作模式:•掉电模式:典型功耗<0.1μA,可由外部中断唤醒,中断返回后,继续执行原程序;•空闲模式:典型功耗2mA;•正常工作模式:典型功耗4mA~7mA;•掉电模式可由外部中断唤醒,适用于水表、气表等电池供电系统及便携设备。STC89C52RC单片机的引脚图如图3-2。图3-2STC89C52RC单片机引脚图3.2.2时钟电路设计时钟电路在系统中的地位相当于心脏在人体中地位。这个电路给单片机提供一个统一的时钟信号以确保实现其同步工作方式。单片机时钟信号的振荡方式通常分为两类:外部和内部。在单片机的引脚XTAL1和XTAL2之间跨接晶体振荡器和微调电容,形成反馈电路,在芯片内部,有一个用于构成振荡器的高增益的反向放大器,这样连接后就构成了一个稳定的自激振荡器从而产生时钟脉冲。时钟电路如图3-3所示,电路中的两个电容值的大小会影响振荡器频率的高低、振荡器的稳定性、起振的快速性等。本电路中两电容的取值为33pF,CRYS为11.O592MHz。需要说明的是晶体振荡频率越高,单片机运行速度越快。11.059211.0592So1XTR2XT1C1C233P33P图3-3外部时钟电路3.2.3复位电路复位电路实现的功能就是让步进电机控制系统初始化的一种状态,只有以该状态为起点,随后的工作才可控制,系统才能够可靠性的工作。上电复位电路如图3-4所示。10K10KR10+C5VCCRSTRSTK图3-5复位电路在上电或复位过程中,复位电路控制CPU的复位状态,这段时间内让CPU保持复位状态,而不是一上电或刚复位完毕就工作,防止CPU发出错误的指令、执行错误操作,也可以提高电磁兼容性能。电路上电时,电容C5迫使RST引脚处于低电平,然后由内部上拉器件将RST引脚拉向高电平。此电路仅适用与VCC上升较快的情况。当系统掉电时,电阻R10为电容C提供了迅速放电的通路,从而保护系统在反复通电时均能可靠复位。3.3FPGA细分驱动电路3.3.1FPGA选型本系统选用的FPGA是Altera公司CYCLONE系列的EP1C6T144C8。该FPGA具有如下优点:1.性能高Altera器件的高性能主要体现在技术和结构上。Altera器件采用铜铝布线的先进CMOS技术,具有非常低的功耗和相当高的速度。而且,它采用了连续式互连结构,能够提供快速的、连续的信号延时[17]。2.逻辑集成密度高在设计过程中,设计者总是希望将更多的逻辑,集成进更少的器件中,并缩小印制板的尺寸。具有高集成能力的PLD提供了优秀的解决方案,Altera器件密度从300门到400万门,能很容易地集成现有的各种逻辑器件,包括小规模及大规模标准逻辑器件、PLD、FPGA或ASIC。高集成能力的PLD就代表着更高的系统性能,更高的可靠性和更低的成本。3.性价比高Altera不断改进产品的开发和制造工艺,它的经验积累使其处理技术和制造流程十分有效,能够有效地提供高性价比的可编程逻辑器件。Altera的PLD成本与门阵列相当。4.开发周期短Altera的快速、直观、易于使用的QuartusII软件设计输入、处理、校验以及器件编程一共仅需几个小时,一天内可完成几个完全的设计。同时Altera与其他EDA制造商紧密合作,在QuartusII软件中集成了另外的工业标准设计输入、综合、校验工具。5.对器件优化的IP解决方案Altera为设计者提供已经做好的、经过预先测试并优化了IP宏功能模块(Megafuncitions),允许设计人员在一个器件中快速实现一定的功能而不必从基础的设计做起,大大地提高了设计效率。6.在线可编程Altera公司的FPGA既可以使用IEEEll49.1标准的JTAG测试端口进行调试,也可以使用USB接口线调试,允许对器件进行编程,并可以对印刷电路板(PCB)进行功能测试。3.3.2VHDL语言介绍当前各ASIC芯片制造商都相继开发了用于各自目的的HDL语言,但是大多都未标准化和通用化。唯一已被公认的是美国国防部开发的VHDL语言,它已成为IEEESTD_1076标准。另外,从近期HDL语言发展的动态来看,许多公司研制的硬件电路设计工具也都逐渐向VHDL语言靠拢,使得他们的硬件电路设计工具也能支持VHDL语言[18]。VHDL是大多数EDA工具都采用的硬件描述语言,这主要源于VHDL强大的自身功能和特点[19]。1.具有强大的描述能力VHDL既可描述系统级电路,也可以描述门级电路;既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式;同时它也支持惯性延迟和传输延迟,可以方便地建立电子系统的模型。2.具有共享和复用的能力VHDL采用给予库的设计方法。库中可以存放大量预先设计或者以前项目设计中曾经使用过的模块,这样设计人员在新项目设计的过程中可以直接复用这些功能模块,从而大大减少了工作量,缩短了开发周期。3.具有良好的可移植能力VHDL的可移植能力体现在:对于同一个设计描述,它可以从一个仿真工具移植到另一个仿真工具进行仿真;可以从一个综合工具移植到另一个综合工具进行综合;可以从一个操作平台移植到另一个操作平台执行。4.具有向ASIC移植的能力由于高密度现场可编程逻辑器件具有很好的灵活性,因此非常适用于研制周期短、小批量产品开发。如果设计的目标是想将设计综合到高密度现场可编程逻辑器件,那么VHDL可以高效的使设计产品快速上市。当产品的产量达到相当的数量时,采用VHDL可以很容易地实现向ASIC的设计转变。3.3.3VHDL语言设计过程设计综合过程主要有6个步骤:1.设计要求的定义即对所需的信号建立时间、时钟-输出时间、最大系统工作频率、关键的路径等需求,要有一个明确的定义。2.用VHDL进行设计描述即系统描述与代码设计,首先确定设计方式,然后编写设计代码。3.源代码模拟采用源代码模拟,可以在设计的早期检测到设计的错误,从而进行修正,可以节省大量时间及工作量。4.设计综合,设计优化和设计的布局布线设计综合是将设计描述转换到网表或方程生成的过程。优化处理依赖于三个因素:布尔表达式,有效资源类型,以及自动的或用户定义的综合指引。布局布线工具采用一定的算法,指引用户通过约束和性能估价来选择最初的布局方式,然后,算法能够重复地进行,以改变布局,以逐步实现符合性能要求的优化的布局结果。5.布局布线后的设计模块模拟布局后的模拟不仅可使我们能够再一次检验设计的功能,而且还能检测时序。6.器件编程在完成以上5个步骤后,就可以对器件进行系统设计的其它工作。综合、优化和装配软件将生成一个器件编程所用的数据文件。3.3.4QuartusII软件由于本课题采用的是Altera公司的CycloneII芯片EP1C6T144C8,因此在软件开发平台上相应的选择了Altera公司的QuartusII开发平台。QuartusII是美国Altera公司的第四代可编程逻辑器件开发软件,它是一个高度集成的高效设计环境,包含了可编程逻辑器件设计中所有的输入、编译、综合优化、定时分析、仿真以及器件编程等设计功能[20]。QuartusII软件使用简便,而且对系列器件的编译配置性能最为优异。支持的器件非常广泛,包括StratixII、CycloneII、MAXII、FLEX7000、Cyclone和MIPS-basedExcalibur器件等。QuartusII采用了LogicLock技术增强技术提高了设计效率,可以支持百万门级的设计,并且对第三方工具提供了良好的支持[21]。它支持原理图、VHDL、VerilogHDL语言和Alter公司自己的语言AHDL的文本文件,以及波形、EDIF等格式的文件为设计输入,也支持这些文件的任意混合设计。其硬件描述语言输入提供模板输入法,可以大大提高输入速度和准确率[22]。QuartusII软件设计流程图如图3-6。3.3.5细分驱动电路设计1.细分驱动原理为了提高步进电动机的运行性能,使步进电动机有更小的步距角,更高的分辨率(即脉冲当量),或者为减小电动机振动、噪声等,可以在每次输人脉冲切换时,不是将绕组电流全部通入或切除,而是只改变相应绕组中额定的一部分,则电动机的合成磁势也只旋转步距角的一部分,转子的每步运行也只有步距角的一部分。这里,绕组电流不是一个方波,而是阶梯波,额定电流是台阶式的投入或切除,电流分成多少个台阶,则转子就以同样的次数转过一个步距角。这种将一个步距角细分成若干步的驱动方法称为细分驱动。要想实现对步进电机恒转矩的均匀细分控制,必须合理控制电机绕组中的电流,使步进电机内部合成磁场的幅值恒定,且每个进给脉冲所引起的合磁场的角度变化也要均匀[23]。两相步进电机细分驱动的原理如图3-7。如果将A相通电时磁场方向定义为起始位置“0”,从A相正向通电、B相断电变为B相正向通电、A相断电,磁场方向旋转了90°,这是步进电机整步运行的情况。如果A相、B相同时通电且电流相同,合成矢量为图3-7中位置2所示方向,这是步进电机半步运行的情况。若以A相或B相单独通电时产生的磁场大小为半径(用R表示)画四分之一圆弧(如图3-7所示),即可算出位置“1”时的两个磁场分量A1=RsinƟ1和B1=RcosƟ1,同理可以算出A2=RsinƟ2,B2=RcosƟ2,A3=RsinƟ3,B3=RcosƟ3。由于步进电机的运行依赖于电机内部定子绕组产生的合磁场,它依赖于两个绕组产生的分磁场的大小和方向。而每个绕组所产生的分磁场的大小和方向又依赖于该绕组中电流的大小和方向。因此可以通过合理地选择两个绕组中的电流,实现步进电机的恒定转矩的细分驱动控制。设计输入设计输入工程更改管理功耗分析时序逼近调试时序分析综合编程和配置布局布线仿真包括基于模块的设计、系统级设计和软件开发图3-6QuartusII软件设计流程图图3-7两相步进电机细分驱动原理由图3-7可知,在两相步进电机转动的时候,如果两个绕组中分别通过幅值和频率相同的波形为正弦和余弦的电流,必然合成幅值恒定并且旋转的磁场。电流的幅值决定磁场的强度,从而决定了输出转矩的大小;电流的频率决定了磁场的转速,从而决定了步进电机的转速。2.细分驱动特点目前最常用的步进电机细分驱动电路有斩波式和脉宽调制式(PWM)式两种。斩波一般就是对电机绕组中的电流进行检测,和D/A转换输出的控制电压进行比较,若检测到的电流值大于控制电压,电路将功放管截止。反之,使功放管导通。这样,D/A输出不同的控制电压绕组中就流过不同的电流值。而脉宽调制式细分驱动电路是把D/A输出的控制电压加在脉宽调制电路的输入端,脉宽调制电路将输入的控制电压转化成相应脉冲宽度的矩形波,通过对功放管通断时间的控制,改变输出到电机绕组上的平均电流。后者的特点是控制精度高、工作频率稳定。细分运行方式存在多种形式,对于两相混合式步进电机驱动系统的最佳细分方式是等步距角、恒转矩的均匀细分运行方式。细分驱动可以使电机获得更小的步距角,可以明显减小电机的振动、噪声,从而大大改善步进电机的低频特性。电机运行时,如果细分的步距角不均匀,容易引起电机的振动和失步,降低其运行的稳定性。因此对步距角进行均匀化很重要。等步距角恒转矩细分实现的具体方法就是根据步距角及各步所对应的磁场空间矢量位置调整各阶梯的电流值。使各相绕组电流的合成矢量在空间做幅值恒定的均匀旋转运动。对于两相混合式步进电机就需要在两相绕组中通以正弦电流。图3-8为细分控制时两相混合式步进电机的转矩矢量图。当步距角均匀细分时,相电流通常为:(3-1)式中,Im为电机的额定电流,Ɵ为A,B两相的合成磁场矢量与A相磁场矢量的夹角,β为相邻两相绕组单独通电时产生的磁场矢量之间的夹角。图3-84细分控制时两相混合式步进电机转矩矢量图式(3-1)中的β一般与步进电机的类型和相数有关,对于两相双极型混合式步进电机有β=π/2,则上述两式变为:(3-2)在式(3-2)中,ia和ib为A相绕组和B相绕组的电流,Im为电流幅值,Ɵ=90°/n×s。其中n为细分数,s为步数。合成电流矢量为:(3-3)在式(3-3)中,矢量以Im为幅值,以-θ为辐角。每当θ变化时,合成矢量转过一个相应角度且幅值保持不变。若使两相电流按正弦规律变化,则电流合成矢量恒幅且等角度均匀旋转,实现恒力矩、均匀步距角的细分驱动。当步进电机运行于8细分状态时,两相绕组驱动电流及旋转磁场矢量分别如图3-9、图3-10所示。图3-98细分拟正弦波电流波形图图3-108细分旋转磁场矢量图恒力矩均匀步距角细分驱动,实质上是通过对步进电机励磁绕组电流的细分控制,使步进电机定子的合成磁场为均匀的圆形脉动旋转磁场,从而实现步进电机步距角的均匀细分。步进电机的转矩取决于步进电机内部合成磁场矢量的幅度;步距角的大小取决于相邻的两个合成磁场矢量之间的夹角。由于磁场矢量是由两相绕组中的电流控制的,精确地控制相电流便可以控制合成磁场矢量的幅度和角度,从而实现对转子位置的精确控制[24]。3.细分驱动系统设计根据细分驱动原理及各种方法的比较,系统采用电流矢量恒幅均匀旋转的正弦脉宽调制细分方法(SPWM方法)。电流矢量恒幅均匀旋转法控制每一相绕组的电流是有一定相位差的正弦电流值,为此需要设计一个能够存储正弦电流值的存储器。以两相混合式步进电机为控制目标,则可设计一个双口ROM,存储需要的正弦电流值。步进电机的细分驱动是靠控制正弦电流的大小实现的,可设计一个电流控制器,即脉宽调制器PWM。PWM把各种大小的正弦输入信号转换成占空比不同的矩形波,矩形波占空比的大小与电流大小成正比。用PWM脉宽调制器输出的矩形波去控制驱动电路,就可以实现对步进电机细分驱动的控制。本系统以FPGA为控制核心,设计了两相混合式步进电机SPWM细分驱动系统。系统的组成主要有:地址发生器、双口ROM、数据变换器、PWM调制和数字变向器。细分系统的框图如图3-11所示:暂停信号暂停信号方向控制信号步进脉冲信号到驱动电路B相极性信号A相极性信号BLBHALAHA相PWM调制B相A相正弦数据B相正弦数据B相地址A相地址地址发生器双口ROM数据变换器PWM调制数字变相器图3-11细分驱动系统框图在系统中,首先地址发生器根据方向控制信号或暂停信号对步进脉冲信号进行加(减)1或暂停计数,然后将相位差为π/2的计数值分别作为双口ROM的A、B相地址。双口ROM中存储了一个周期的正弦波数据,在A、B相地址的控制下可输出A、B相正弦数据。由于ROM存储的是正弦波数据值,但控制步进电机的相电流是单向的正弦数据值,为此需要数据变换器把这些数据做一些变换。数据变换器可根据A、B相极性信号,把ROM中的正弦数据变换成单向的正弦数据。PWM调制器,根据A、B相正弦数据值,控制输出信号的占空比,实现PWM调制。数字变向器,在极性信号控制下将PWM输出信号进行变向处理(即改变电流方向):在0~π时,PWM脉宽调制信号从AH输出,而当π~2π时,PWM脉宽调制信号从AL输出。将AH、AL输出的信号去控制驱动电路。3.4本章小结本章给出了两相混合式步进电机的具体设计方案。首先是控制器选择,本章通过对GAL、单片机、ASIC、DSP、CPLD、FPGA等器件的优缺点进行分析,最终确定方案,选择单片机和FPGA作为主要芯片来完成控制。然后分别叙述了单片机部分电路(包括时钟电路、复位电路等)和FPGA部分电路的设计(主要是细分驱动)。第4章软硬件设计4.1硬件设计4.1.1硬件设计注意事项在控制系统的电路原理图设计结束之后,便可以应用Protel软件进行系统的PCB设计,在设计中除了要充分考虑控制系统的安装尺寸之外,还要充分考虑系统的防干扰设计。因为对于电子类产品来说,仅有正确的原理图设计是不够的,产品最终性能指标的好坏,在很大程度上还与电路板结构设计和组装工艺有关,所以要从元器件的布局和电路板布线两个方面来考虑系统的防干扰[25]。下面将从电源、布线策略及抗干扰方面讨论PCB板设计中需要注意的几个问题。1.电源设计PCB时,若电源线和地线的位置良好配合,可以降低电磁干扰的可能性。如果设计的电源线和地线配合不当,会设计出系统环路,并很可能会产生噪声。2.布线策略设计PCB时,往往很想采用自动布线。通常,纯数字的电路板(尤其是信号电平较低,电路密度较小时)采用自动布线是没有问题的。但是,在设计模拟、混合信号或高速电路板时,如果采用布线软件的自动布线工具,可能会出现一些问题,甚至可能带来严重的电路性能问题。所以,在设计的时候,通常采取的手工布线。3.抗干扰措施印制电路板的抗干扰设计与具体电路有着密切的关系,形成干扰的基本要素有三个:干扰源、传播途径、敏感器件。所以要抗干扰就要从这三个方面入手。4.1.2PCB板设计该系统选用两片L293D驱动芯片驱动两台两相/四相步进电机。L293D是单块高电压,高电流,四通道驱动集成电路,可用来接受DTL或者TTL逻辑电平,可驱动继电器,直流和步进马达等感性负载以及开关电源晶体管等。峰值输出电流为1.2A,输入电压与使能电压均为5V,逻辑供电电压为24伏。FPGA的输出信号连接到L293D的lA-4A的管脚上,OUT1-OUT4为输出的电机控制信号。其典型应用原理图如图4-1所示。图4-1L293D应用原理图由于所选择的L293D是16引脚的塑料封装,所以中间的4,5,12,13四个引脚是短路的,这是为了更好的散热[15]。至此,根据以上各部分电路设计,可以得到系统原理图如图4-2所示,系统硬件电路的PCB图如图4-3所示。图4-2系统原理图图4-3系统硬件电路PCB板4.2软件设计4.2.1单片机部分软件结构单片机系统主要完成步进电机调速控制,转向,细分数的设定。单片机完成步进电机调速控制分两种方式:细分数不变,改变步进脉冲的频率;步进脉冲的频率不变,选择不同的细分数,可以实现8种运行速度。电机的速度与步进脉冲频率、细分数的关系如下:(4-1)其中,n为电机转速;Z为转子齿数;N是运行拍数,N=mk,m为定子的相数,k为运行拍数(单拍为1,双拍为2),步进频率不变的调速方式的软件流程图如图4-4所示。YYYYYYYYNNNNNNNN转速要求256细分128细分64细分32细分16细分8细分2细分4细分送“111到FPGA的”S2-S0送“000”到FPGA的S2-S0送“001”到FPGA的S2-S0送“010”到FPGA的S2-S0送“011”到FPGA的S2-S0送“100”到FPGA的S2-S0送“101”到FPGA的S2-S0送“110”到FPGA的S2-S0图4-4步进频率不变的调速流程细分数不变的调速方式软件流程图如图4-5所示。转速要求,细分数要求或当前细分数转速要求,细分数要求或当前细分数步进脉冲频率求出脉冲周期计算定时器T1初值,设置计数器启动计数器调用中断程序产生所需脉冲方波图4-5细分数不变的调速流程4.2.2FPGA部分软件结构FPGA的开发环境采用的是Altera公司的QuartusⅡ开发环境进行综合、布局和布线,运行VHDL硬件描述语言进行编程,采用的是自顶向下的层次化设计方式,从系统的总体性能要求出发,顶层模块由多个子模块组成,它们分别是控制模块、细分波形发生模块、电流调节模块、AD电流采样模块、PWM生成模块。FPGA的系统的设计框图如图4-6所示:iiia用户输入iob反馈电流ioaiiabCP信号控制信号控制模块细分波形发生模块电流调节PWM生成模块AD采样模块图4-6FPGA细分驱动系统框图首先用户可以先对步进电机的速度和电流进行设定,控制模块对用户的信号进行读取,然后对控制信号进行处理并且把控制信号传送给CP脉冲发生模块,脉冲发生模块按照转速与脉冲信号的关系产生相应的脉冲信号,细分波形发生模块根据控制信号和脉冲信号产生相应的步进电机两相绕组的细分波形。电流检测模块对反馈电流进行检测,并且计算出两相绕组的反馈电流,得到的两相反馈电流和细分波形发生模块产生的电流信号进行电流调节,最后经过调节后的信号进入PWM发生模块生成相应的PWM信号,PWM信号用来控制两相桥式逆变器,从而实现对两相混合式步进电机运转的驱动,最后实现对步进电机的细分控制[26]。4.3本章小结本章根据第3章的具体设计方案,实现了系统的硬件和软件设计。硬件设计给出了系统的总设计原理图以及PCB图,软件设计则给出了单片机和FPGA两个主要芯片各自的程序流程。结论本文以两相混合式步进电机作为研究对象,设计了基于单片机和FPGA的两相混合式步进电机控制系统,本文完成的主要任务如下:1.分析步进电机原理,建立数学模型。2.分析系统要求,选择合适控制器。本文选取STC89C52RC型号单片机和EP1C6T144C8型号FPGA作为控制芯片。3.系统各部分电路设计。4.系统软硬件实现。目前在步进电机的控制方面的技术研究还比较热门,本文相比传统的控制方案有所创新。具体的创新之处有:1.采用单片机和FPGA作为控制芯片单片机技术成熟,并且编程简单,价格低廉;FPGA性能优越,集成度高,并且无需A/D转换芯片。单片机和FPGA在价格和性能上可以完美地互补,从而使控制系统不但性能好、速度快,而且价格适中,实用价值很高。2.实现多轴步进电机控制由于采用FPGA做细分驱动的主芯片,FPGA的高速和大容量可以实现对多轴步进电机的控制。3.高集成度FPGA内部具有A/D转换模块,所以使用FPGA芯片设计系统无需A/D转换芯片,而且FPGA容量大、集成度高,从而使系统的集成度大幅度提高,尤其是在多轴步进电机的控制系统中。总的来讲,本文完成了对两相混合式步进电机的控制系统设计,很大程度上提高了步进电机的低频性能和高频性能,实用性高。致谢四年的读书生活在这个季节即将划上一个句号,而于我的人生却只是一个逗号,我将面对又一次征程的开始。四年的求学生涯在师长、亲友的大力支持下,走得辛苦却也收获满囊,在论文即将付梓之际,思绪万千,心情久久不能平静。伟人、名人为我所崇拜,可是我更急切地要把我的敬意和赞美献给一位平凡的人,我的导师——董宏伟老师。或许我不是您最出色的学生,而您却是我最尊敬的老师。您治学严谨,学识渊博,思想深邃,视野雄阔,为我营造了一种良好的精神氛围。授人以鱼不如授人以渔,置身其间,耳濡目染,潜移默化,使我不仅接受了全新的思想观念,树立了宏伟的学术目标,领会了基本的思考方式,从论文题目的选定到论文写作的指导,经由您悉心的点拨,再经思考后的领悟,常常让我有“山重水复疑无路,柳暗花明又一村”的感觉。感谢我的爸爸妈妈,焉得谖草,言树之背,养育之恩,无以回报,你们永远健康快乐是我最大的心愿。在论文即将完成之际,我的心情无法平静,从开始进入课题到论文的顺利完成,有多少可敬的师长、同学、朋友给了我无言的帮助,在这里请接受我诚挚谢意!同时也感谢学院为我提供良好的做毕业设计的环境。最后再一次感谢所有在毕业设计中曾经帮助过我的良师益友和同学,以及在设计中被我引用或参考的论著的作者。参考文献1高琴,刘淑聪,彭宏伟.步进电机控制系统的设计及应用.制造自动化,2012,34(1),150-152.2董亮辉,刘景林,李昱.步进电机宽调速多细分控制系统研究.测控技术,2012,31(1),66-69.3周明安,朱光忠,宋晓华等.步进电机驱动技术发展及现状[J].机电工程技术,2005,34(2),16-174阮健著.电液(气)直接数字控制技术.杭州:浙江大学出版社,2000.5侯艳霞.混合式步进电机工作原理及其PLC控制.科技创新导报,2009,28,96-97.6刘鼎邦.两相混合步进电机细分驱动的研究.湖南:长沙理工大学,2012.7何冲.两相混合式步进电机控制系统研究.山西:太原理工大学,2012.8韩光鲜,王宗培,程智.二相混合式步进电机非线性电感分析.微电机,2001.9宋亦旭,寇宝泉,程树康.混合式步进电动机平均电感的在线测量方法.微电机,2001.5.10任勇.基于FPGA的两相步进电机细分驱动器设计[D].杭州:杭州电子科技大学,2010.11邹小平,朱锦洪,孙玉梅.基于可编程逻辑器件的两相步进电机控制器.机电工程技术,2004,33(3):16-17.12刘升.步进电机可变细分驱动系统的设计.煤炭技术,2004,23(10):25-26.13孙毅,石玉梅.基于DSP的两相混合式步进电机细分控制.电机技术,2009,2:22-25.14张锡勇,王丛岭.基于单片机和CPLD的步进电机细分驱动系统.现代电子技术,2006,9:80-82.15李均恒.基于单片机和FPGA的步进电机控制系统的研究.广东:广东工业大学,2009.16田强.基于单片机的二相混合式步进电机细分控制器研究.江苏:南京农业大学,2011.17杨天鹏.基于FPGA的两相混合式步进电动机驱动控制系统的设计.贵州:贵州大学,2009.18侯伯亨.VHDL硬件描述语言与数字逻辑电路设计.顾新.2.陕西:西安电子科技大学出版社,1999.19杨晓慧,杨永健.基于FPGA的EDA/SOPC技术与VHDL.国防工业出版社,2007.3.20潘松,黄继业.SOPC技术实用教程.北京:清华大学出版社.2005.21赵釜.基于CycloneII系列FPGA的图像实时采集与预处理系统研究.重庆:重庆大学,2009.22郭蕾.基于FPGA的高速外围多功能芯片的设计与实现.陕西:西安理工大学,2008.23徐志跃,文招金,陈伟海.基于FPGA的两相步进电机细分驱动电路设计.电气传动,2008,38(4),59-62.24王志超,林岩,李大庆.两相混合式步进电机细分驱动.信息与电子工程,2008,6(6):457-459.25魏辉,董蕴华.PCB设计中需要注意的几个问题.河南机电高等专科学校学报,2004,12(4),50-52.26李建彪.基于FPGA的步进电机正弦波细分驱动器设计.黑龙江:黑龙江大学,2012.附录一英文文献译文基于FPGA的软性步进电机驱动摘要——步进电机是一种在工业上非常重要的设备。它可以驱动工厂中的自动流水线工作。步进电机的驱动是通过微型计算机、可编程逻辑控制器(PLC)设备和数字信号处理器(DSP)来设计实现的。在本文中,我们提出了一个基于FPGA的步进电机驱动的研究。FPGA具有灵活的逻辑单元、高集成和应用广泛等特征。本文使用一个可编程芯片系统(SOPC)作为主要的设备。SOPC装置是通过NIOSII软件来编程的。步进电机的驱动程序是通过软件设计完成的。QuartusII具有被SOPC系统所兼容的特征,能够完成FPGA硬件描述和设计FPGA器件的接口。通过输入和输出接口,它可以轻松完成FPGA器件、液晶显示器、UART和步进电机之间的交互连接。本设计实验是基于实验板和步进电机平台来实施和进行的。本文的研究提供了多轴数控系统的理论依据。关键词:步进电机;FPGA;SOPC;NIOS1.介绍步进电机是一个开放的控制组件。它可以将脉冲信号转换为角位移或线位移。在非超载情况下,电机转速和停止的位置只取决于脉冲信号的频率和数量。它不受负载变化的影响。也就是说,当一个脉冲发送到的时候,电机转动一个步进角。脉冲信号和步进角有线性关系。由于有这个关系,所以步进电机只有一个周期误差而没有积累误差和其他误差。这是使步进电动机广泛应用于各种领域上的速度控制和位置控制,如机器人技术、数控机床、纺织器材、工业控制等。A.步进电机的原理和驱动方式通常情况下,我们使用两相步进电机,但是三相步进电机和五相步进电机也是存在的。一个两相双极的电动机有一个绕组/相,而一个单极的电动机只有一个绕组,每相有一个中心抽头。有时单极步进电机又称为“四相电机”,尽管它只有两相。电机每相存在着两个单独的绕组,这些绕组可以被驱动运行在双极模式或单极模式下运行。一个极可以被定义为在一个磁化的绕组的磁通密度集中在一个区域内。步进电机的转子和定子都拥有磁极。图1中包含了一个简化的图形,图形描述了一个两相步进电机,该步进电机每相的定子具有两个磁极(或1对极),每相的转子具有两个磁极(一对极)。事实上,有额外的磁极被添加到步进电机的两个转子和定子的结构用以增加每周期运动步数,或者换句话说,提供一个较小的基本的(完整的一步)步进角。永磁步进电机包含相同数量的转子和定子极对。典型的PM电动机有12对极。定子每相有12对极。混合式步进电机具有多齿的转子。转子被分成两部分,中间由一个永久的磁铁构成,使得一半齿为S极,一半的齿为N极。转子部分极对的数量是等于齿数的。混合式步进电机的定子也具有多个齿,相对于主磁极,这些齿是用来建立一个高数量的等效磁极的(小极距,等效磁极的数量=360/齿距),在这些齿上绕组线圈是分开的。通常4个主磁极应用于3.6的混合式步进电机,而8个主磁极应用于1.8度到0.9度的类型。这是转子磁极和等效定子磁极数量以及决定了步进电机的完整步距角的相数之间的关系。通过公式(1)可以很容易计算步距角。步距角=360÷(NPh×Ph)=360/N(1)NPh=每相的等效磁极数量=转子磁极的数量Ph=相数N=所有相上磁极的总数量如果转子和定子齿距是不相等的,将存在一个更复杂的关系。B.步进电机驱动的方法步进电机不能直接工作在交流或直流电源的频率下。它必须使用一个专用的步进电机来驱动,如图2所示,构成了脉冲控制单元、电力传动装置、保护装置等框架,如图2包括三个单位。它可以作为步进电机的驱动程序,它可以放大电流和控制信号来控制步进电机的动作。II.FPGA和NIOSII现场可编程门阵列(FPGA)技术是一种先进的智能芯片。可编程逻辑阵列(PAL)、门阵列逻辑(GAL)、可编程逻辑器件(PLD)等是FPGA的基础。FPGA的出现不仅解决缺少定制电路的问题,也克服了原始的可编程设备门的缺点等局限性。FPGA拥有任何数码设备的作用,包括一些高速中心处理器单元(CPU),74系列芯片如74HC00等等。FPGA看起来就像张白纸,工程师可以通过传统的图解的输入法

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论