VerilogHDL硬件描述语言复习_第1页
VerilogHDL硬件描述语言复习_第2页
VerilogHDL硬件描述语言复习_第3页
VerilogHDL硬件描述语言复习_第4页
VerilogHDL硬件描述语言复习_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

本文格式为Word版,下载可任意编辑——VerilogHDL硬件描述语言复习

VerilogHDL硬件描述语言复习

一、

1.VerilogHDL是在哪一年首次被IEEE标准化的?

2.VerilogHDL支持哪三种基本描述方式?3.VerilogHDL是由哪个公司最先开发的?4.VerilogHDL中的两类主要数据类型什么?5.UDP代表什么?

6.写出两个开关级基本门的名称。7.写出两个基本规律门的名称。

8.在数据流描述方式中使用什么语句描述一个设计?

9.采用结构描述方式描述1位全加器。

10.initial语句与always语句的关键区别是什么?

11.采用数据流方式描述2-4译码器。12.找出下面连续赋值语句的错误。

assignReset=#2Sel^WriteBus;二、

1.以下标识符哪些合法,哪些非法?

COunT,1_2Many,\\**1,Real?,\\wait,Initial

2.在VerilogHDL中是否有布尔类型?

3.假使线网类型变量说明后未赋值,其缺省值为多少?

4.VerilogHDL允许没有显式说明的线网类型。假使是这样,怎样决定线网类型?5.下面的说明错在哪里?integer[0:3]Ripple;

6.VerilogHDL有哪几大类数据类型?7.VerilogHDL有哪几种寄放器类型?三、

1.假定长度为64个字的存储器,每个字8位,编写Verilog代码,按逆序交换存储器的内容。即将第0个字与第63个字交换,第1个字与第62个字交换,依此类推。

2.假定32位总线Address_Bus,编写一个表达式,计算从第11位到第20位的归约与非。

3.假定一条总线Control_Bus[15:0],编写赋值语句将总线分为两条总线:Abus[0:9]和Bbus[6:1]。

4.编写一个表达式,执行算术移位,将Qparity中包含的8位有符号数算术移位。

5.使用条件操作符,编写赋值语句选择NextState的值。假使CurrentState的值为RESET,那么NextState的值为GO;假使CurrentState的值为GO,则NextState的值为BUSY;假使CurrentState的值为BUSY;则NextState的值为RESET。

6.如何从标量变量A,B,C和D中产生总线Bus

Q[0:3]?如何从两条总线BusA[0:3]和BusY[20:15]形成新的总线BusR[10:1]?四、

1、VeriligHDL提供的内置基本门分为哪几类?2、多输入门与多输出门的区别在哪里?3、VerilogHDL内置的mos开关门有哪些?4、门时延值的组成有哪几个值?

5.VeriligHDL提供的内置基本门分为哪几类?

6.假定一条总线Control_Bus[7:0],编写赋值语句将总线分为两条总线:Abus[0:2]和Bbus[4:1]。

7.编写一个表达式,执行算术移位,将Qparity中包含的8位有符号数算术左移3位。

8.要求采用数据流方式设计一个半加器,写出完整的VeriligHDL设计模块。五、

1、操作符有种类型,其中三目操作符有个操作符和个操作数。

2、关键字全是写,标识符的首字符必需是或。

3、数字A=5’b011?的?表示。设

B=5’b101x1,C=5’b01x11,则操作运算F=B+C的结果F=。

4、VerilogHDL中保存字符串“Hello〞需要位。

5、声明reg[7:0]data[4:0]表示个位

的存储单元。

6、moduletest(q,clk,crt);q;q;

clk,crt;always@(posedgeclk)begin

if(crt==1)q=~q;endmodule7、数据流建模的主要语法结构是语句,采用关键字开始。

8、线网赋值延迟可以通过延迟,延迟和延迟三种方法来实现。

9、模型引用时,要指定实例名,但和原语例外。10、语句assign#(2:3:4,5:6:7)port(out,clk,in)中的典型关断延迟是,最大关断延时是。11、VerilogHDL语言可以从四个不同的抽象层次描述电路,这四层是、、

、12、结构化建模的主要语句是和。六、

1.门级建模的类型有:

(A)or和AND(B)OR和and(C)and和or(D)A、B、C都正确()2.VerilogHDL使用的是规律是:

(A)二值规律(B)四值规律

(C)三值规律(D)八种强度()

3.不属于寄放器类型的是:

(A)integer(B)reg

(C)wand(D)time

()

4.VerilogHDL语言中,标识符的作用范围是:

(A)本模块(B)外部模块

(C)所有模块(D)全局模块()

5.具有多个输出端口的门是:

(A)and(B)or

(C)nor(D)not()七、

1、语句内部时延与语句前时延效果是否一样?

2、当时延表达式为负数时,时延值是如何处理得到?3、VeriligHDL有几种循环语句?分别采用关键字是什么?八、

1.VerilogHDL语言和C语言的结构化语句有何不同?2、VerilogHDL语言的操作符类型有哪些?其数据流建模采用什么来描述设计吗?

3、VerilogHDL语言的优点是什么?

4、以下例子中,b,c,d的最终值分别是什么?initialbegin

b=1’b1;c=1’b0;#10b=1’b0;end

initialbegin

d=#25{b|c};end

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论