数字电子技术基础试卷及答案8套_第1页
数字电子技术基础试卷及答案8套_第2页
数字电子技术基础试卷及答案8套_第3页
数字电子技术基础试卷及答案8套_第4页
数字电子技术基础试卷及答案8套_第5页
已阅读5页,还剩32页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

数字电子技术基础1一.1.(15分)试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。1ABL1RdDX2X1QL411&&ACPQL2B01AL3L5JQENAX1CP1BCKQEN二.(15分)已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2M1、M2取值情况如下表所示)输出F的逻辑表达式。八选一数据选择器输出端逻辑表达式为:Y=ΣmDii,其中mi是S2S1S0最小项。取不同值时(FM20M1FM2S2Y01M1S174LS151A0S0D0D1D2D3D4D5D6D711010011&B三.(8分)试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A(A、1A),B(B、B)。要求用三个3输入端与门和一个或门实现。010四.(12分)试按步骤用74LS138和门电路产生如下多输出逻辑函数。Y1ACY2ABCABCBCY3BCABC74LS138逻辑表达式和逻辑符号如下所示。Y0=G1(G2A+G2B)A2A1A0Y1=G1(G2A+G2B)A2A1A0。。Y7=G1(G2A+G2B)A2A1A0五.(15分)已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。CPQ2Q1Q0六.(18分)按步骤完成下列两题1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。Q0Q1Q2JQQJQQJQQCPCPCPKKKCPF0F1F2图5-1&1Q3Q1Q0Q2ETEp74LS163RdCP1A计数脉冲DCBLD100M图5-2七.八.(10分)电路下如图所示,按要求完成下列问题。1.指出虚线框2.对应画出V、V01、A、B、C的波形。T1中所示电路名称.并计算出V波形的周期T=?。C01VCC10KR1845&&R23V0110KBC6NE555JQQA27K+1C1VcC0.01u1TT21VCtttttV01ABC数字电子技术基础2一.(20分)电路如图所示,晶体管的(1)求电路的静态工作点(2)画出微变等效电路图,求Au、ri和ro;(3)若电容Ce开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势β=100,Vbe=0.7v。;.二.(15分)求图示电路中、、、及。UUUIUabcLbR51kFR100k3R100k6R20k52VR10k10.1VR10k11VUa-+Ub-+-+R20k4U`b0.5VR10k2R351kR29.1kR79.1kR20kFR10k1IL-+Uc-+RL3V2VR10k2R1R22kR320k三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X如下图所示,试分别1信号波形Y、Y、Y。设各触发画出各单元电路相应的电压输出器初始状态为“0”态。123X11X1Y1Y1ttQY2Y2X1CDQtY3JQY3X1KQt四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。+VCC+VCCRRC1RC1b1T2C1TC2T11TCCRfV12RSiVo+R+vRb2Re2vSoe1Re1RCRe2__ff(a)(b)五.(8分)根据相位平衡条件判断下列各电路能否产生自激振荡(要求在图上标出瞬时极性符号)。Vcc.CLL.1Vcc2RCb2R13Cb1C1C1C2R2RCe4L(a)(b)六.(12分)某车间有A、B、C、D四台电动机,今要求:(1)A机必须开机;(2)其他三台电动机中至少有两台开机。如果不满足上述要求,则指示灯熄灭。设指示灯熄灭为0亮为1,电动机的开机信号通过某种装置送到各自的输入端,使该输入端为1,否则为0。试用与非门组成指示灯亮的逻辑图。七.(16分)设图示电路初始状态是(1)写出各触发器的驱动方程;(2)写出各(3)“000”,要求完成以下各问:触发器的状态方程;列出状态转换表;(4)试分析图示电路是几进制计数器。Q0Q1Q2JQJQJQSETSETSETKQCLRFF0KQCLRFF1KQCLRFF2CP八.(12分)下图为由555定时器构成的多谐振荡器电路。(1)对应画出图中Vc和Vo的波形(要求标出对应电压值);(2)设图中二极管为理想器件,计算Vo波形的周期T及占空比q(%)。VvCCC(5V)1kR1847V5553O01kR2D162t51vOD2vC0.01uFC0.1uF0t附:555功能表复位端触发端阈值端放电端输出端(4)0(2)×(6)×(7)对地短路(3)01>1/3Vcc>2/3Vcc对地短路1<1/3Vcc<2/3Vcc对地开路011>1/3Vcc<2/3Vcc保持原态保持原态数字电子技术基础3一.(20分)电路如图所示,晶体管的(1)求电路的静态工作点(2)画出微变等效电路图,求Au、ri和ro;(3)若电容Ce开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势。β=100,Vbe=0.7v。;二.(15分)计算图a和图c中的U和图Ob中I的值,设所有运放均为理想运算放大器。LR20kFR10kI1-+L-+UOR2VL3VRR2k2R10k21R20k3(a)(b)AB-+UI1=0.12V1k100k4k4k-+Uo+-1k100kUI2=0.08V(c)三.(9分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X如下图所示,试分别1信号波形Y、Y、Y。设画出各单元电路相应的电压输出各触发器初始状态为“0”态。123X11X1Y1Y2ttY1QQY2X1CDtY3JQQY3X1Kt四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。+VCCRCvIvR1OAT1R+VCCRRC1b1TC21TCV12iVoRb2Re1RCRe2ff(a)(b)五.(8分)根据相位平衡条件判断下列各电路能否产生自激振荡(要求在图上标出瞬时极性符号)。Vcc.CLL.1Vcc2RRCb2R13Cb1C1C1C2R2Ce4L(a)(b)六.(12分)某车间有3台电机,两台以上电机停机时为故障发生,此时报警灯亮,设计一个显示故障情况的电路,并用与非门加以实现,写出具体实现步骤。七.(16分)设图示电路初始状态是“000”,要求完成以下各问:(5)写出各触发器的驱动方程;(6)写出各触发器的状态方程;(7)列出状态转换表;(8)试分析图示电路是几进制计数器。Q0Q1Q2JQJQJQSETSETSETKQKQCLRFF1KQCLRFF2CPCLRFF0八.(12分)下图为由555定时器构成的多谐振荡器电路。(1)对应画出图中Vc和Vo的波形(要求标出对应电压值);(2)设图中二极管为理想器件,计算Vo波形的周期T及占空比q(%)。VvCCC(5V)1kR1847V5553O01kR2D162t51vOD2vC0.01uFC0.1uF0t附:555功能表复位端触发端阈值端放电端输出端(3)(4)(2)×(6)×(7)0对地短路01>1/3Vcc>2/3Vcc对地短路1<1/3Vcc<2/3Vcc对地开路1>1/3Vcc<2/3Vcc保持原态01保持原态数字电子技术基础41.(20分)试根据图示输入信号波形分别画出下列各TTL电路的输出波形,设图中触发器初态为“0”。ABAB=1&LJL41QCCKCCLL12BAELLLLBC2345DQCL5VccRA&&L3AC2.(15分)(1)指出图中由555定时器所组成电路的名称;(2)已知R=R2=2kΩ,C=0.01μ计算的V频率以及占空比;1O(3)画出V和VO对应波形并标出相应坐标。CVCVCC84R17VO3555R2定时器V06251VCC0.01μ3.(20分)(1)试通过逻辑表达式、真值表分析图示电路的逻辑功能。=1ABCZ=1L(2)试用74138和与非门实现该电路的逻辑功能。4.(10分)试用74161和与非门实现下列脉冲产生电路:CPY(要求说明74161实现几进制计数器,并画出状态转换图、电路图)5.(20分)设计一裁判表决电路,一个主裁判两票,三个副裁判每人一票,多数票同意为通过。(1)画出真值表。(2)限用最少的与非门实现该电路并画出电路图。(化简时用卡诺图)。(3)用一片数据选择器74LS151实现6.(15分)按步骤分析图示电路:写出驱动方程,状态方程,列出状态转换表,画出状态转换图和时序波形图。CP数字电子技术基础51.(20分)试根据图示输入信号波形分别画出下列各TTL电路的输出波形,设图中触发器初态为“0”。ABAB=1&LJL41QCCKCCLL12BAELLLLBC2345DQCL5VccRA&&L3AC2.(15分)(1)分析图示逻辑电路:写出输出X、Y的表达式,列真值表,简述逻辑功能;(2)用3线-8线译码器74138实现该电路(允许附加与非门)。AB=1=1XYC&&&&&&3.(15分)设计一裁判表决电路,一个主裁判两票,三个副裁判每人一票,多数票同意为通过。(1)画出真值表。(2)限用最少的与非门实现该电路并画出电路图。(化简时用卡诺图)。4.(20分)按步骤分析图示电路:写出驱动方程和状态方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。1QQQQJQJCKJCKCKQCPFF0FF1FF25.(15分)试用74161、74151和与非门实现下列脉冲产生电路:CPY(1)说明74161实现几进制计数器,并画出状态转换图;(2)根据题目中要实现的脉冲波形确定74151的输入;(3)画出逻辑电路图。CETCRD0D1D2D3TCPECEP74LS161>CPQ0Q1Q2Q3DDDDDDDD70123456SS21074HC151YSE6.(15分)下图为由555定时器构成的(1)说明以及电容C上的充电回路和放电回路;(2)对应画出图中Vc和Vo的波形(要应用电路。该电路的名称,求标出对应电压值);(3)设图中二极管为理想器件,计算Vo波形的周期T及占空比q(%)。VvCCC(5V)1kR8417V555O01kR35D2162tDvOv21C0.01uFC0.1uF0t数字电子技术基础61.(20分)填空:(1)目前,最常用的两种半导体材料是()和()。(2)场效应管属于()控制器件,反映其控制能力的参数为();双极型三极管属于()控制器件,反映其控制能力的参数为()。(3)集成运放只有()截止频率,当信号频率高于此频率时,增益会显著()。(4)电压放大电路共有()种组态,分别为()组态、()组态和()组态。(5)理想运放只有在()应用条件下,两个输入端才同时符合虚短和虚断的原则。(6)在调试共射放大电路时,输出波形同时出现了截止失真和饱和失真,为减小失真,应首先调整()。(7)差放两个输入端的信号分别为2.1v和2v,差模信号为()v,共模信号为()v。(8)功放电路效率是指()功率与()功率的比值。(9)集成三端稳压器W7805的额定输出电压为()v;W7912的额定输出电压为()v。2.(18分)多级放大电路如下图所示。已知T的β=100,VBE0.6v,C1,C2,的容量足够大。(1)估算T的静态工作点并求出其r;be(2)画出该放大电路的简化微变参数等效电路;倍数、输入电阻R和输出电阻R。。Avi(3)计算电压放大oVcc+15VR2kR40kCC2b1C1TRLR100`ve2koR20kvib2CeR2.1k`e3.(12分)电流扩大电路如图所示。已知图示电路中各三极管的0.7v,饱和压降V均为2V,二极管的导通压降为0.7v,Vcc=24v.求:馈极性及反馈类型。(2).估算电路的电压放大倍数Avf。β均为60,VBE均为第1页(共4页)CES(1).确定电路反(3).电路输出最大电压Vomax时,它的Vi为多大?(4).求电路的最大输出功率Pmax(设T1、T2的Vces=1v)。+Vcc2702KTT3TT4D127KD2ViTVo122701k81k510k-Vcc4.(15分)图示各电路由无级间交流反馈,若有,则用瞬时极性法判断其反馈极性。对其中的负反馈需说明反馈类型,并按深度负反馈条件写出电路的电压放大倍数的表。Avf达式(要求必要的步骤);对正反馈,则只须说明反馈极性。VccRRRR9167RR2.RVRR8o35R.VLiRR10411(a)AAvRRvV2iORoARRLf1R1RL3Vi(c)(b)5.(10分)根据相位平衡条件判断下列各电路能否产生自激振荡(要求在图上标出瞬时极性符号),各图中标明C1的电容为耦合电容或旁路电容。(1)图(a)、(b)电路若可振荡,试说明理由并写出其振荡频率的表达式;若不能振荡,请修改成能振荡的电路。(2)图(c)电路中当Rs=1kΩ,R取何值时才能使电路起振,写出振荡频率f的表达式。+VccVcc.LRRRCLdc.1Vo2CC1RC1fCRRRes(a)(c)C1L12CL(b)6.(10分)图示电路,3KΩ。解答:(1)说明已知变压器副边电压V=12v,稳压管Dz的稳定电压Vz=4.5v,R1=R2=2D、D2、D3、D4构成什么电路,其最高反向电压应不低于多少;1(2)简述电容C的作用。若C的容量较大,V大约时多少伏?d(3)计算Vo的大小。D~D1R412RVCVdLVVO12RDZ7.(15分)解答下列各题,设图中运放为理想器件。1)求图(a)、(b)中的输入电压V、Vo2和Vo;o12)已知图(c)中的输入电压正向压降为0.7v。画出对应的V波形如图(d)所示,D和Dz2的稳定电压为5.3v,z1iVo波形,设在t=0时Vo=6v。36k30kV(v)i20k20k15k+0.5vVo1Vo+604t-2.v4+5v224k5.6k30k-6(a)30kV(v)OVi15kVoVot030K20KDDZ210KZ12V-3V(b)(c)(d)数字电子技术基础71填空(20分)⑴双极型三极管属于()控制器件,反映这种控制能力的参数叫()。场效应管属于()控制器件,反映这种控制能力的参数叫()。⑵测得某NPN三极管各电极对地电位如下图,试将下列三种情况下管子的工作状态(即放大、截止、饱和)分别填入括号内。第4页(共4页)9V5V5V9V5V5V5.7V5.7V5.7V()()()⑶差动放大电路对差模信号有()作用,对共模信号有()作用;运算放大器第一级通常采用()放大电路,以克服直接耦合带来的()漂移。⑷乙类互补对称功率放大电路的输出电压波形存在()失真。⑸放大电路中引入负反馈会使放大器放大倍数(),放大倍数的稳定性()。⑹正弦波振荡电路要产生持续振荡,必须同时满足()平衡和()平衡条件。⑺集成三端稳压器W7805的额定输出电压为()V;W7912的额定输出电压为()V。⑻运算放大器只有()截止频率,当信号频率高于此截止频率时,运算放大器的增益会显著()。2.(18分)Vcc+15V100T的,图示放大电路,已知三极管RC2kCRb140kV=0.6V。2BEC1T⑴估算T的静态工作点(I、I、VCE)并求其BCrbe;RLR`100ve2koRb220kvi⑵画放大电路的微变等效电路;CeR`2.1keA、输入电阻R和输出电阻R。io⑶计算放大电路的电压放大倍数V3.(12分)已知图示电路中各三极管的β均为60,VBE均为0.7v,饱和压降V均为2V,二极CES管的导通压降为0.7v,Vcc=24v.求:(1)静态电流I、Ic2;c1(2)按深度反馈计算电压放大倍数;(3)计算输入电压为0.5v(有效值)时电路的输出功率和效率。(4)计算不失真最大输出功率和效率。+Vcc2702KTT3TT4D127KD2ViTVo122701k81k510k-Vcc4.(10分)⑴图(①请找出图中的错误并在图中加以改正。②改正后的电路如振荡频率f=480H,试确定R的值(其中C=0.01μF)。R改为可稳幅的热敏电阻,问R1应有怎样的温度a)所示RC串并联正弦振荡电路接好后,不能振荡0Z③若将负反馈支路上的电阻1系数?⑵利用相位平衡条件判断图(b)电路能否产生振荡(标出瞬时极性),如能,求振荡频率(其中C=C47pF,L=1.6mH)。12=VccRRRRRCb2C312CAb11VoR1RCC122kCeRL10k4C22(a)(b)5.(12分)⑴图示各电路有无级间交流反馈,若有,则用瞬时极性法判断其反馈极性(在图上标出瞬时极性)。对其中的负反馈说明反馈类型。⑵对于其中的负反馈,试分别定性说明其反馈对放大电路输入、输出电阻的影响,指出是稳定输出电压还是稳定输出电流。⑶试计算其中两个负反馈放大器的电压放大倍数。+Vcc+VRb11CCRC1RC2RcARb2voViRb12Rf+VovRRf1ReR2S_-VEE(a)(b)+VRCCC1T2C+vIA1+T1CRfR_Sv+voRoR+LRRe2fvSRe11___(c)(d)6.(20分)图(a)、(b)、(c)(e)中的运放均为理想器件,试解答:(1)写出图(a)中VO的表达式(2)图(b)电路中稳压管Dz1、Dz2稳压值为6v(稳压管正向可忽略),求:当Vi=3v时Vo=?(3)设VO的初始值为0伏,对应图(d)输入波形VI画输出波形VO,并标出VO的峰值大小。(4)已知图(e)电路中Vi波形如图(f)所示,画出对应的Vo波形。+6v-RcViA1Vo10K+-+Rb2KVoVi3RAR20K3R-+A2Dz1Dz210KRR(b)(a)vI(V)0.1u+10-1CAmtmtR1263451vI10KvO(V)vOR10K2(c)(d)Vi(v)20k40ωtωt10k30k2π4π10k10kD1ViVo(v)VoD210K20K0(e)(f)7.(8分)串联型稳压电路如下图所示,V2=12V(有效值),稳压管DZ的稳定电压VZ=4.5V,R1=R2=3kΩ。求:(1)说明D1、D2、D3、D4构成什么电路?(2)当C足够大时,估算整流滤波电路的输出电压Vd;(3)计算Vo的大小。TR4DDD21ARRCV1V12V2dVD0D43Z数字电子技术基础8一、(20分)放大电路如图1-1所示,已知三极管β=100,VBE=0.6V,VCES=0VVi=10sinωt(mV)。试求:1。确定当Rb1”=30K时电路2.画出放大电路的静态工作点中频时微变等效电路3.确定当Rb1”=30K时该电路的中频Av、Ri、Ro。4.回答下列问题:①当R”调至零时,在图1-2中定性画出电路输出V相应的波形。b1O②当Vim≥V/A时,在图1-3中定性画出电路输出V相应的波形。CCVO③当f=f时放大倍数A=?④电路中Ce接至三极管LVT的发射极时,放大倍数增大还是减小?

Vcc+15VVORb1"40kR2kCoRb1'10KtC2C1图1-2图2-3TR2kRVOLv`100eoviRb220kCR``2.1koete图1-1二、(18分)功率放大电路如图2-1所示,已知三极管的β=100,VCES=0V,T1、T2完全对称。试求:1.电路的最大输出功率Pom、效率η。2.每只功放管的最大管耗。3.回答下列问题:①T5、T6和R3构成什么电路?说出它在电路中的作用。②T3、R1和R2构成的电路在该功率放大电路所起的作用是什么?③若VBE3=0.7V,试确定静态时VAB、VBE1和VBE2的值。三、(18分)图示3-1是用运算放大器构成的音频信号发生器的简化电路。试求:1。①判断电路是否满足振荡的相位条件?②接通电源后,若出现如图3-2所示的失真应如何调整R1。图2-1③Rp为联动可调电阻,可从0调到14.4KΩ,试求振荡频率的调节范围。④若RT为可稳幅的热敏电阻,应该具有什么样的温度系数。1.6KR1K2KR2RTRp14.4K0.01uARp14.4K1.6KVo0.01u3.3KR1R图3-1VOot图3-22.利用相位条件判断图3-3、图3-4电路能否振荡。VCCRb1VccLCRRRRCb231Cb1C2CCC1Rb212LC2Re4e(a)(b)四、(20分)图(1)写出图(a)、图((2)求出图(b)上门限触发电压VI画输出波形VO,并标出(a)、(b)、(d)、(f)中的运放均为理想器件,试解答:f)电路V的表达式。OV和下门限触发电压V;对应图(c)输入波形(3)写出图(d)VO的表达式;设VO的初始值为0伏,对应图(e)输入波形VI画输出波形VO,并标出V的峰值大小。T+T—V、V和VO的幅值Vom等参数。T+T—O0.1u-RVAA11AViVoI+VRC1R2K3R1R02V10KAR20KV0I3R-1R10K6v10KA2+2RR+1V(b)(d)(a)V(v)V(v)II6+1030345126mtmttt-1-3-6V(v)V(v)OO630-3-6(c)(e)M1xyR1R2M2VixyAV0R3(f)五、(12分)(1)图示各电路有无级间交流反馈,若有,则用瞬时极性法判断其反馈极性(在图上标出瞬时极性)。对其中的负反馈说明反馈类型。(2)对于其中的负反馈,试分别定性说明其反馈对放大电路输入、输出电阻的影响,指出是稳定输出电压还是稳定输出电流。(3)试写出两个负反馈放大器的电压放大倍数表达式。六、(12)如图所示桥式整流滤波及稳压电路,已知变压器副边电压有效值为6V。⑴二极管D1—D4构成什么电路,其⑵电容C(已知C足够大)两端的电压为多少伏?7805的3、2端之间的电压为多少最高反向电压应不低于多少伏。伏?⑶求该电路输出电压的最大值Vomax和最小值Vomin的表达式。1378052R1DD12R2C4700CCo1uvv2ARV0~i2u0.33uLD4D3R3………………1.时序逻辑电路一般由……2.十进制数(56)10转换为二进制数为和十六进制数为。…线…………学…3.串行进位加法器的缺点是,想速度高时应采用4.多谐振荡器是一种波形电路,它没有稳态,只有两个。5.用6个D触发器设计一个计数器,则该计数器的最大模值加法器。号M=。…………订……F(A,B,C,D)=ABCDE的反函数。1.写出函数名姓F……………装…………封……密…2.证明逻辑函数式相等:BCDD(BC)(ADB)BD3.已知逻辑函数F=∑(3,5,8,9,10,12)+∑d(0,1,2)(1)化简该函数为最简与或式:(2)画出用两级与非门实现的最简与或式电路图:4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。)级班(业专图15.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态院……时,、Y分别才为低电(平被译中)。Y1学6……………线……6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器实现该逻辑电路。ABCF2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求:图574161十六进制计数器功能表输入输出CPCRLDPTDCBAQDQCQBQA0000dcba×0×××10××××××dcba××××××××××××××1111110×11×0计数保持数字电路期末考试试卷评分标准课程名称数字电子技术基础卷B一、填空题:(每题2分,共10分)1.存储电路,组合电路。2.111000,383.速度慢,超前进位4.产生,暂稳态5.32二、化简、证明、分析综合题:(每小题10分,共70分)1.解:ABCDE3分FA•(BCDE)4分ABACADE3分2.BCD(BC)(ADB证明:左边3分)BCDBADCADBC3分(BCBC)D(1BACA)2分BD2分右边原式成立3.解:ABCD00011110××1×00011110010000011011填对卡诺图-----------2分圈对卡诺图-----------2分由卡诺图可得:FABACDACDBCBD------------------------------2分(2)画出用两级与非门实现的最简与或式电路图:FABACDACDBCBDFABACDACDBCBD1分FAB•ACD•ACD•BC•BD1分则可得电路图如下:------------------------------------------------2分4.解:RR)·C=0.7(1+8.2)100.110-6=0.644ms---2分3T=0.7(121R2R)·C=0.7(1+28.2)103-60.110=1.218ms---3分T=0.7(1211821HZ0.821KHZ-----------------------3分f=q=TRR20.64452%---------------------------------2分T11TR2R1.218125.解:YSSSm(m为A,A,A的最小项)2分i123ii210图中SA,SAA,SAA1分17265343当S1,SS0时:1Ym23i0~72分ii当A,A,A001和110时Y1和Y6分别被选中------------------------1分210既:A1;A1,A1,A0,A0时,76543且A,A,A001和110时Y1和Y6分别被选中;-------------------------2分210当AAAAAAAA11100001和11100110时Y1和Y6分别被选中------2分765432106.解:D=A-------------------------------------------------------------------------1分Qn+1=D=A------------------------------------------------------------------2分Q1QOEQOE-------------------------------------------------------------------2分设触发器初始状态为0态,波形如图3所示。图37.解:①驱动方程:

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论