拓荆科技:半导体薄膜沉积设备龙头上市后驶入成长快车道_第1页
拓荆科技:半导体薄膜沉积设备龙头上市后驶入成长快车道_第2页
拓荆科技:半导体薄膜沉积设备龙头上市后驶入成长快车道_第3页
拓荆科技:半导体薄膜沉积设备龙头上市后驶入成长快车道_第4页
拓荆科技:半导体薄膜沉积设备龙头上市后驶入成长快车道_第5页
已阅读5页,还剩11页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

拓荆科技:半导体薄膜沉积设备龙头,上市后驶入成长快车道1.拓荆科技:国内半导体薄膜沉积设备领军企业1.1深耕薄膜沉积十余年,打破垄断成就领军者国内半导体薄膜沉积设备领域龙头。公司成立于2010年,主要从事高端半导体专用设备的研发、生产、销售与技术服务。公司拥有自主知识产权,且技术指标已达到国际同类产品先进水平,产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂产线,打破了国际厂商对国内市场的垄断,与国际寡头直接竞争。公司多次承担国家重大专项,多次获评中国半导体行业协会授予的“中国半导体设备五强企业”称号。公司无控股股东和实际控制人,第一大股东为国家集成电路基金。上市前,国家集成电路基金持有公司26.48%的股份,其实控人为中国财政部。自然人股东吕光泉、刘忆军、凌复华、吴飚、周仁、张先智、张孝勇,以及沈阳盛腾、芯鑫和等11个公司员工持股平台,与股东姜谦属于一致行动人,合计持有公司15.19%的股份。公司于2020年分别在北京、上海、海宁成立了三家子公司。其中,拓荆键科(海宁)主要从事高端半导体新兴工艺设备研发与生产制造,拓荆北京、拓荆上海尚未开展经营。1.2产品市场地位领先,PECVD贡献主要业绩公司聚焦薄膜沉积设备,三大系列均已批量供货国内主要集成电路晶圆厂产线。公司主要产品包括等离子体增强化学气相沉积(PECVD)设备、原子层沉积(ALD)设备和次常压化学气相沉积(SACVD)设备三大系列薄膜沉积设备。经过十余年的积累沉淀与快速发展,公司已形成覆盖二十余种工艺型号的薄膜沉积设备,可以满足下游集成电路制造客户产线对于不同材料、不同芯片结构薄膜沉积工序的设备需求。目前,三大系列产品均已批量发往国内主要集成电路晶圆厂产线,广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,累计发货超150台,并已展开10nm及以下制程产品验证测试。公司PECVD设备贡献主要营收,且毛利率逐年上升。2018-2021年,PECVD设备营收由0.52亿元攀升至6.75亿元,CAGR达135.52%。PECVD的高增长主要是因为下游需求增加,以及公司不断创新、积累技术,从而获得多家客户验证、认可。PECVD设备的毛利率由2018年的29.25%逐年上升至2021年的42.64%,主要是随着技术水平、市场地位的提升,公司议价能力有所提高,加之公司产品也开始进入价格更高的先进制程设备市场。1.3业绩实现高增长,盈利状况逐年改善经营业绩实现高增长,扣非亏损逐年收窄。2018-2021年,公司营收CAGR高达120.56%,2021年营收7.58亿元,同比增长73.99%,实现高速增长。主要原因有:1)外部大环境良好:全球半导体行业处于需求增长的上行周期,下游晶圆厂的需求增加,对半导体专用设备的需求也随之上升;2)国际设备厂商的垄断被逐渐打破:国内半导体产业逐步成熟,晶圆制造厂商出于供应链安全与成本控制的考虑,开始选择国产半导体设备;3)公司前期的积累逐步进入收获期:凭借自身技术优势和创新能力,公司的薄膜沉积设备在前期通过了多家客户的验证。2018-2021年,由于公司研发费用金额较高且占营业收入的比例较大,因此扣除非经常性损益后尚未实现盈利。2018-2021年,公司研发费用率分别为152.84%、29.58%、28.18%、38.04%,维持高位。与此同时,随着持续不断地投入技术研发,公司产品日益成熟,客户认可度逐年提高,公司的亏损呈现收窄趋势,盈利能力逐步改善。2.半导体行业景气度高,国产半导体设备市场广阔2.1集成电路占半导体八成份额,设备为产业链的关键要素集成电路占半导体产品80%以上份额,是绝大部分电子设备的核心组成部分。半导体指常温下导电性能介于导体与绝缘体之间的材料。按产品来划分,半导体产品可分为集成电路、分立器件、光电器件和传感器,其中集成电路占80%以上的份额,是绝大多数电子设备的核心组成部分,也是现代信息产业的基础,下游应用最为广泛。按照主要生产过程划分,半导体产业链整体可分为上游半导体支撑产业、中游晶圆制造产业、下游半导体应用产业。上游半导体材料、设备产业为中游晶圆制造产业提供必要的原材料与生产设备。半导体产品下游应用广泛,涉及通讯技术、消费电子、工业电子、汽车电子、人工智能、物联网、医疗、新能源、大数据等多个领域。全球半导体行业市场广阔,市场规模整体呈现不断增长趋势。根据WSTS数据显示,全球半导体行业销售收入自2016起增长较快,2016-2018年CAGR为17.61%。2019年受全球宏观经济低迷影响,半导体行业景气度有所下降。2020年全球半导体收入恢复增长至4,404亿美元。2021年全球半导体收入首次突破5,000亿美元,实现同比26.23%的强劲增长。WSTS预计,2022年全球半导体市场规模将延续增长态势,市场规模将再成长8.8%达6,014.90亿美元。近十年中国集成电路行业消费额高速稳步增长,中国大陆已占据全球35%的半导体消费市场。SIA2020年数据显示,亚太地区是全球最大的半导体消费市场,2019年销售额占比62.50%,其中中国大陆市场占据全球35.00%市场份额;美国为全球半导体消费第二大市场,占比约为19.10%;欧洲及日本市场份额分别为9.70%和8.70%。据中国半导体行业协会统计,2011-2021年,中国半导体行业销售额持续增长,十年复合增长率达18.39%。2021年中国集成电路产业实现销售额10,458亿元,同比增长18.2%。半导体设备泛指用于生产各类半导体产品所需的生产设备,属于半导体行业产业链的支撑环节。以半导体产业链中技术难度最高、附加值最大、工艺最为复杂的集成电路为例,应用于集成电路领域的设备通常可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两大类。其中,在前道晶圆制造中,共有七大工艺步骤,分别为氧化/扩散、光刻、刻蚀、离子注入、薄膜生长、清洗与抛光、金属化,所对应的专用设备主要包括氧化/扩散设备、光刻设备、刻蚀设备、清洗设备、离子注入设备、薄膜沉积设备、机械抛光设备等。其中,光刻设备、刻蚀设备、薄膜沉积设备为前道工艺中的三大核心设备。集成电路制造设备的投资中各工艺环节占比稳定,薄膜沉积设备约占20%。集成电路制造中,70%-80%的资本开支用于设备投资,而设备投资中的78%-80%在晶圆制造环节的设备中。根据ASMI数据,2015-2021年,集成电路制造设备投资中各工艺环节占比稳定,刻蚀&清洗、光刻、薄膜沉积分别约占集成电路制造设备投资的25%、20%、20%。2.2薄膜沉积为核心工艺环节,元器件复杂化提升设备需求芯片是微型结构体,其内部结构是3D立体式形态,衬底之上的微米或纳米级薄膜构成了制作电路的功能材料层。为了形成多层的半导体结构,需要先制造器件叠层,即在晶圆表面交替堆叠多层金属(导电)膜和介电(绝缘)膜,之后再通过重复刻蚀工艺去除多余部分并形成三维结构。作为芯片结构的功能材料层,在芯片完成制造、封测等工序后,薄膜会留存在芯片中,因此薄膜的技术参数将直接影响芯片性能。芯片内部的薄膜厚度为微米到纳米级,无法通过普通机械加工方法制造,而需要采用薄膜沉积技术。薄膜沉积技术是以各类适当的化学反应源在外加能量(包括热、光、等离子体等)的驱动下激活,将由此形成的原子、离子、活性反应基团等在衬底表面进行吸附,并在适当的位置发生化学反应或聚结,渐渐形成几纳米至几微米不等厚度的金属、介质、或半导体材料薄膜。薄膜沉积设备主要负责各个步骤当中的介质层与金属层的沉积,包括CVD(化学气相沉积)设备、PVD(物理气相沉积)设备/电镀设备和ALD(原子层沉积)设备。CVD是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。其原理是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物。常用CVD设备包括PECVD、SACVD、APCVD、LPCVD等,适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。其中,由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,致密度得以加强,不伤害芯片已完成的电路,PECVD在从亚微米发展到90nm的IC制造技术过程中,扮演了重要的角色。由于SACVD反应腔环境具有特有的高温(400-550℃)、高压(30-600Torr)环境,具有快速填空(Gapfill)能力,因此SACVD主要应用于沟槽填充工艺。PVD是用物理的方法使材料沉积在被镀工件上的薄膜制备技术。在真空条件下,采用物理方法,将材料源(固体或液体)表面气化成气态原子、分子或部分电离成离子,并通过低压气体(或等离子体)过程,在基体表面沉积具有某种特殊功能的薄膜的技术。PVD主要方法包括真空蒸发镀膜、真空溅射镀膜和真空离子镀膜,不仅可沉积金属膜、合金膜,还可以沉积化合物、陶瓷、半导体、聚合物膜等。PVD具有成膜速率高、镀膜厚度及均匀性可控、薄膜致密性好、粘结力强及纯净度高等优点。ALD可以将物质以单原子膜形式一层一层地镀在基底表面的方法。从原理上说,ALD是通过化学反应得到生成物,但在沉积反应原理、沉积反应条件的要求和沉积层的质量上都与传统的CVD不同。相对于传统的沉积工艺而言,ALD工艺具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长,因此ALD设备在28nm以下关键尺寸缩小的双曝光工艺方面取得了越来越广泛的应用。集成电路尺寸及线宽的缩小、产品结构的立体化及生产工艺的复杂化等因素都对半导体设备行业提出了更高的要求和更多的需求,并为以薄膜沉积设备为代表的核心装备的发展提供了广阔的市场空间。多重曝光工艺需要重复多次薄膜沉积,从而实现更小的线宽。在摩尔定律的推动下,元器件集成度的大幅提高要求集成电路线宽不断缩小,影响集成电路制造工序愈为复杂。尤其当线宽向7nm及以下制程发展,当前市场普遍使用的光刻机受波长的限制精度无法满足要求,需要采用多重曝光工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积次数显著增加。半导体产品结构的立体化大幅增加了薄膜沉积工序。在FLASH存储芯片领域,主流制造工艺已由2DNAND发展为3DNAND结构。而在3DNAND制造工艺中,增加集成度的主要方法不再是缩小单层上线宽,而是增大三维立体堆叠的层数,叠堆层数也从32/64层量产向128/196层发展,每层均需要经过薄膜沉积工艺步骤。根据东京电子披露,薄膜沉积设备占FLASH芯片产线的资本开支比例从2D时代的18%增长至3D时代的26%。随着3DNANDFLASH芯片的内部层数不断增高,对于薄膜沉积设备的需求提升的趋势也将延续。从上游晶圆厂的角度来看,先进产线对薄膜沉积设备的需求量陡增。随着产线的逐渐升级,晶圆制造的复杂度和工序量都大大提升,在实现相同芯片制造产能的情况下,晶圆厂对薄膜沉积设备的需求量和性能也将相应增加。以中芯国际的不同制程逻辑芯片产线为例,从180nm8寸晶圆产线到90nm12寸晶圆产线,产线对CVD设备的需求量从月产能每万片9.9台增至42台,PVD设备的需求量从月产能每万片4.8台增至24台,需求量提升了4-5倍。2.3产能转移叠加国产化,国内薄膜沉积设备市场大有可为2.3.1半导体设备空间广阔,中国大陆已成全球最大市场自2019年起全球半导体设备市场迎来高速增长,中国大陆连续两年成为全球第一大半导体设备市场。据SEMI统计,2019年全球半导体设备销售规模为598亿美元,2021年首次突破1000亿美元,达到1026亿美元,年均复合增长率达30.99%。2019-2021年,中国大陆地区半导体设备销售额由135亿美元增长至296亿美元,年均复合增长率达48.40%,高于全球增速。2021年,中国大陆地区半导体设备销售额较2020年大幅增长58.23%,大陆地区连续两年成为全球第一大半导体设备市场,占全球半导体设备市场的28.86%。全球半导体薄膜沉积设备市场规模逐年增长,预计2025年达340亿美元。根据MaximizeMarketResearch数据统计,2017-2019年全球半导体薄膜沉积设备市场规模分别为125亿美元、145亿美元和155亿美元,2020年扩大至约172亿美元,年复合增长率为11.2%。随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,拉动市场对薄膜沉积设备需求的增加。MaximizeMarketResearch预计全球半导体薄膜沉积设备市场规模在2025年将从2020年的172亿美元扩大至340亿美元,保持年复合14.6%的增长速度。PECVD占整体薄膜沉积设备市场的33%,为占比最高的设备类型。薄膜沉积工艺的不断发展,形成了较为固定的工艺流程,同时也根据不同的应用演化出了PECVD、溅射PVD、ALD、LPCVD等不同的设备用于晶圆制造的不同工艺。其中,PECVD是薄膜设备中占比最高的设备类型,占整体薄膜沉积设备市场的33%;ALD设备目前占据薄膜沉积设备市场的11%;SACVD是新兴的设备类型,属于其他薄膜沉积设备类目下的产品,占比较小。预计2025年中国大陆PECVD设备、ALD设备市场规模分别达37.03、12.34亿美元。根据MaximizeMarketResearch预测,2025年全球半导体薄膜沉积设备市场规模将达340亿美元;假设到2025年,中国大陆半导体设备市场占全球市场的比例逐步提升至33%,则可测算出中国大陆薄膜沉积设备市场规模(全球薄膜沉积市场规模×中国大陆半导体市场占全球市场的比例);假设2022-2025年,PECVD设备、ALD设备占整体薄膜沉积设备市场的比例分别保持33%、11%不变,则可测算中国大陆PECVD、ALD设备市场规模(中国大陆薄膜沉积设备市场规模×PECVD或ALD设备占薄膜沉积设备市场的比例)分别为37.03、12.34亿美元。2.3.2薄膜沉积设备被高度垄断,产能转移或拉动国产替代薄膜沉积设备行业呈现出高度垄断的竞争局面,行业基本由应用材料(AMAT)、先晶半导体(ASMI)、泛林半导体(Lam)、东京电子(TEL)等国际巨头垄断。2019年,ALD设备龙头东京电子(TEL)和先晶半导体(ASMI)分别占据了31%和29%的市场份额,剩下40%的份额由其他厂商占据;在CVD市场中,应用材料(AMAT)全球占比约为30%,连同泛林半导体(Lam)的21%和TEL的19%,三大厂商占据了全球70%的市场份额;而应用材料(AMAT)则基本垄断了PVD市场,占85%的比重,处于绝对龙头地位。中国半导体设备整体仍严重依赖进口,集成电路设备自给率仅有5%左右,进口替代空间巨大。根据中国电子专用设备工业协会数据统计,2020年国产半导体设备销售额约为213亿元,自给率约为16%。如仅考虑集成电路设备,国内自给率仅有5%左右,在全球市场仅占1-2%,技术含量最高的集成电路前道设备则自给率更低。半导体设备严重依赖进口不仅影响我国半导体产业的发展,更对我国信息产业安全造成重大隐患。3.技术与客户共筑护城河,募投或延续业绩高增长3.1技术优势:布局先进制程产线,不断拓宽产品覆盖面半导体行业技术更新快要求设备厂商保持技术领先性。以台积电为例,从1987年的3微米制程到预计2022量产的3纳米制程,台积电平均2年开发一代新制程。而半导体行业通常是“一代产品、一代工艺、一代设备”,晶圆制造要超前下游应用开发新一代工艺,而半导体设备要超前晶圆制造开发新一代设备。因此,半导体设备供应商必须每隔18-24个月推出更先进的制造工艺。公司自成立以来就高度重视技术研发,在研发费用与人才方面均进行了大量投入。1)研发:2018-2021年,公司研发费用率一直维持高位,2021年研发费用为2.88亿元,较2020年同比大幅增长134.81%。2)人才:公司创始团队以归国海外专家为核心,凭借国际化、专业化的高级管理团队,以及全员持股的激励制度,公司吸引了大量具有丰富经验的国内外半导体设备行业专家。从公司员工结构来看,截至2021年Q3,公司共有189名技术研发人员,占公司员工总数的44.06%。经过十余年的大力投入与快速发展,公司已形成研发技术及研发平台优势。截至2022年3月8日,公司累计已获授权的专利174项(境内153项,其他国家或地区21项),其中发明专利共计98项(境内77项,其他国家或地区21项)。此外,公司还先后承担了“90-65nm等离子体增强化学气相沉积设备研发与应用”和“1xnm3DNANDPECVD研发及产业化”等4项国家重大科技专项/课题,已研发了支持不同工艺型号的PECVD、ALD和SACVD设备,在半导体薄膜沉积设备领域积累了多项研发及产业化的核心技术,构建了具有设备种类、工艺型号外延开发能力的研发平台。依托公司积累的技术优势,公司已形成覆盖二十余种工艺型号的薄膜沉积设备,可以满足下游集成电路制造客户产线对于不同材料、不同芯片结构薄膜沉积工序的设备需求。具体而言,公司的产品已适配国内最先进的28/14nm逻辑芯片、19/17nmDRAM芯片和64/128层3DNANDFLASH晶圆制造产线。其中,PECVD设备已全面覆盖逻辑电路、DRAM存储、FLASH闪存集成电路制造各技术节点产线多种通用介质材料薄膜沉积工序,并研发了LokⅠ、LokⅡ、ACHM、ADCⅠ等先进介质材料工艺,拓宽了公司PECVD产品在晶圆制造产线薄膜沉积工序的应用。同时,公司产品也已展开10nm及以下制程产品验证测试。3.2客户优势:前期积累进入收获期,未来有望受益于扩产薄膜沉积设备设计制造技术难度大,产业化验证周期长。由于薄膜是芯片结构的功能材料层,在芯片完成制造、封测等工序后会留存在芯片中,薄膜的技术参数直接影响芯片性能。生产中不仅需要在成膜后检测薄膜厚度、均匀性、光学系数、机械应力及颗粒度等性能指标,还需要在完成晶圆生产流程及芯片封装后,对最终芯片产品进行可靠性和生命周期测试,以衡量薄膜沉积设备是否最终满足技术标准。因此,晶圆厂对薄膜沉积设备所需要的验证时间较长。公司具备优越的客户资源基础,且在国内领先的行业地位有助于进一步拓展客户。在集成电路PECVD、SACVD设备方面,公司都是国内唯一一家产业化应用的厂商,ALD设备方面,公司也居于国内领先地位。1)国内市场:公司产品已广泛用于中芯国际、华虹集团、长江存储、长鑫存储、厦门联芯、燕东微电子等国内主流晶圆厂产线,打破了国际厂商对国内市场的垄断,与国际寡头直接竞争。根据公司公告,2019-2020年公司PECVD设备中标数量占长江存储、上海华力、无锡华虹和上海积塔四家招标总量的17%,SACVD设备约占25%。2)国际市场:依据公司公告,公司已与某国际领先晶圆厂建立业务联系,发货两台设备至客户先进制程研发产线,为打开国际市场奠定了基础。区位优势有利于公司快速响应本土客户需求。目前,公司的主要竞争对手均位于美国和日本,服务中国大陆客户的成本较高。公司的研发和生产主要位于中国大陆,具备针对客户提出的特定工艺材料、特定制造工序薄膜性能的快速响应能力,可以及时满足客户产线的定制化设备需求。这对于中国本土客户近年来能够快速扩充产能极其重要,便于公司建立和巩固与客户稳定的合作关系。此外,公司在北京、上海、武汉、合肥、天津、中国台湾等20多个地区的近40条生产线都设有技术服务中心,可为客户提供每周7天,每天24小时的技术服务。3.3募投项目:扩充PECVD与ALD产品线,有望延续业绩高增长公司IPO计划募资10.00亿元(实际募资22.73亿元),用于扩大现有基地产能、新建研发生产基地。1)高端半导体设备扩产项目:该项目计划在公司现有的半导体薄膜设备研发和生产基地基础上进行二期洁净厂房建设、配套设施及生产自动化管理系统建设。该项目建成后将扩充公司产能,解决随业务扩大带来的场地不足问题;2)先进半导体设备的技术研发与改进项目:该项目主要面向28nm-10nm制程PECVD设备的多种工艺型号开发、面向10nm以下制程PECVD设备的平台架构研发及UVCure系统设备研发。PECVD是化学气相沉积中应用最为广泛的技术,也是公司目前营收来源最主要的产品,该项目配合高端半导体设备扩产项目有利于公司抓住国内半导体产业高速发展的市场机遇、提高市占率;3)ALD设备研发与产业化项目:该项目拟通过开展系列技术研发,基于公司现有ALD设备技术基础,开发面向28nm-10nm制程的ALD设备平台架构,发展多种工艺机型,同步开发不同腔室数量的机台型号,满足逻辑芯片、存储芯片制造不同的工艺需求,并进行规模化量产。目前ALD设备占公司营收比例较小,而ALD设备是先进制程晶圆制造的关键设备,因此该项目响应了当前环境的下游客户发展先进制程产线的市场需求,有助于为公司贡献业绩增长点。4.盈利预测4.1盈利预测根据我们对公司各项业务的拆分,汇总得到公司财务预测数据:预计公司2022-2024年营业收入分别为11.68、16.85、23.49亿元,同比增长54.06%、44.28%、39.42%;

归母净利润分别为1.24、2.07

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论