一位二进制全减器设计_第1页
一位二进制全减器设计_第2页
一位二进制全减器设计_第3页
一位二进制全减器设计_第4页
全文预览已结束

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

实验报告一位二进制全减器设计一、 实验目的掌握QuartusII的VHDL原理图设计和文本设计全过程;熟悉简单组合电路的设计,掌握系统仿真,学会分析硬件测试结果。二、 实验内容与要求设计一个二进制全减器,用分层设计方法,顶层为全减器,底层为半减器和逻辑门组成;进行波形仿真,并分析仿真波形图;下载测试全减法器是否正确。三、 设计思路/原理图一位全减器可以由两个半减器和一个或门连接而成,根据半减器真值表对半减器进行描述,再对或门的VHDL描述,而后根据原理图对全减器的VHDL描述。四、实验程序(程序来源: )libraryieee; --半减器描述useieee.std_logic_1164.all;entityh_suberis --定义半减器h_suber实体port(a,b:instd_logic;do,vo:outstd_logic);endentityh_suber;architecturefs1ofh_suberissignalabc:std_logic_vector(1downto0);beginabc<=a&b;process(abc)begin

caseabciswhen"00"=>dov='0';vov='0';when"01"=>dov=T;vov=T;when"10"=>dov=T;vov='0';when"11"=>dov='0';vov='0';whenothers=>null;endcase;endprocess;endarchitecturefs1;libraryieee; --一位二进制全减器顶层设计描述useieee.std_logic_1164.all;entityf_suberis --定义全减器f_suber实体port(ain,bin,cin:instd_logic;v,sub:outstd_logic);endentityf_suber;architecturefsoff_suberiscomponenth_suber ---调用半减器声明语句port(a,b:instd_logic;do,vo:outstd_logic);endcomponent;componentor2a --调用或逻辑门声明语句port(m,n:instd_logic;h:outstd_logic);endcomponent;signale,f,g:std_logic;---定义3个信号作为内部的连接线beginul:h_suberportmap(a=>ain,b=>bin,do=>e,vo=>f); ---例化语句u2:h_suberportmap(a=>e,b=>cin,do=>sub,vo=>g);u3:or2aportmap(m=>g,n=>f,h=>v);endarchitecturefs;五、实验步骤NewFrjtiact企i工ard..在【】中选f_suber相同NewFrjtiact企i工ard..在【】中选f_suber相同f点在file中打开WhatisthenameMthi择存放的目录f【 】f_suber要与顶层文件中entity击nextf点击next—选择芯片fnext完成;verilQQl-lULNle再在file下面点【甲^已】f【 】f点击ok—编写程序f编译①衆矽|轸|并保存在f_suber文件中(改动程序后,再保存,再编译)f管脚【 乐云砂】一

LcicationLcication】一而后对芯片设置,即打开device一点【D旳心ndHn叶旳临】一点EPCS4【UiwiseiPins]与【CMi尹■毗le】—选如【険: 】与【旳比f选芯片f确定ok;EPCS4重新编译一点下载,后选【Mode:JTAG】硬件有“JTAG【4曲⑹ 】”和“ASP【AAddFi且.】手动添加以扩展名为pof的文件【T^m】”两个接口其一(要看硬|Ctinfigu忙|件接口),选中【 】”一添加【-H可咖宅叽】中的驱动程序一【借 】f点【湎f\】开始下载。注:原理图输入法工程建立与文本输入法建立相似,而且原理图形与以前学习的Mutisim相同,这里不再多说。2.用quartusII创建仿真工程在已有的工程中,在file下面点【良戸】一【在已有的工程中,在file下面点【良戸】一【VectorWaveformFile】OKf在任务栏得【曲“】中双击,来添加管脚一【"肚Fin血…】一【曲 】ok然后设置一下中的【】改时间【T肮输入引脚波形f保存一下f仿真【 】f必要时还可以设置一下【中的【】改时间【T肮】f再重新仿真一下。六、仿真波形分析下图为实验所得的波形图:对实验所得的波形图作如上的标记,可以得到如下仿真信息表:ValueABCDVa00000以看出输出波形有一定的延时和毛刺,是因为经过元器件需要时间和冒险现象存在。七、硬件测试使用的芯片是EP1K00QC208-3。ain、bin、cin分别连接引脚53(电平1)、54(电平2)、55(电平3),sub、v分别连接引脚167(LED1)、168(LED2);测试为:电平1、2、3分别为(低、低、低)、(低、低、高)、(低、高、低)、(低、高、高)、(高、低、低)、(高、低、咼)、(咼、咼、咼)、(咼、咼、咼)对应的LED1、LED2分别为(灭、灭)、(亮、亮)、(亮、亮)、(灭、亮)、(亮、灭)、(灭、灭)、(灭、灭)、(亮、亮)。八、实验小结对全减器的设计是比较简单的,而且在对全减器编译、仿真和下载之前,我已对Quartus9.0进行了多次操作,已经熟练地掌握了利用QuartusII9.0建立工程和创建仿真等;通过此次试验,我可以向复杂的设计迈进,通过试验,一步一步地提咼自己的设计能力、创新能力。九、实验心得/实验体会通过这个实验,掌握了利用QuartusII建立工程、创建仿真工程和编程下载;在第一次编译时,发现“or2a”没有定义,然后定义一下逻辑或门or2a,保存一下,重新编译通过;在第一次波形仿真后,改变输入波形和endtime,保存,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论